2018 – TECHCET News


September 28, 2018 – IC Lithography Materials Market at $6.8B by 2023 – EUV lithography demand small but strategic

September 21, 2018 – IC Specialty Cleaning Materials US$1B Market in 2022 – Custom chemistry blends for exacting applications

September 07, 2018 – Supply Chain Challenges in $650M Sputtering Target Market – Tungsten, Cobalt, and Rare Earth Elements in flux

July 25, 2018 – US$1B Global Market for Quartz Growing Amidst Fluctuating Lead-times – Wafer Shortage affecting Silicon Components Market

July 09, 2018 – 2018 CMC Seminar / ICMtia Conference Joint Event in Ningbo, China – SAVE THE DATE: October 30-31, 2018

July 03, 2018 – 2018 ALD/CVD Precursors Market Reaches $1.3B by 2023 – Cobalt sources highly strategic through 2023

TNews – 2018 Freeman and Tracy Enrich Ranks of TECHCET Analysts – Far-sighted Experts Recently with Gartner and SEMI, respectively

June 08, 2018 – Over $40B Fab Materials Market Led by Silicon Wafers – Silicon wafer revenues grew 21% in 2017

February 05, 2018 – Silicon Wafer Prices Increase Twenty Percent – Supply Tightness Likely Through 2020

January 18, 2018 – CMP Materials Market to Reach $2.4B by 2022 – Slurry and Pad Sub-Markets both ~6% CAGR

September 1, 2017 – Sputter Targets Supply Chain Issues Revealed – Copper, Cobalt, and Ruthenium


September 28, 2018

IC Lithography Materials Market at $6.8B by 2023

EUV lithography demand small but strategic

San Diego, CA, September 28, 2018: TECHCET—the advisory services firm providing electronic materials information— announced that global IC fabrication demand for photoresists and ancillary lithography materials is growing strongly from an estimated market size of US$5.2 billion in 2017 to US$6.8 billion in 2023. Demand for argon-fluoride immersion (ArFi) photoresists is now growing at nearly 10 percent by volume. Extreme Ultra-Violet (EUV) lithography will soon be entering limited production use at Samsung and TSMC, with Intel and other companies expected to follow use after this year. However, the total market for EUV photoresists in the year 2023 is forecast to be just US$100 million, as detailed in the latest TECHCET Critical Materials Report (CMR) on photoresists and ancillaries.

“EUV is finally happening but the total market for resists next year is forecast to be only 8 million dollars,” said Ed Korczynski, TECHCET senior analyst and author of the report. “Our proprietary cost-models show that EUV can be cost-effective when the proper materials are integrated, and that resolution-extension materials such as anti-reflective coatings and shrink/trim materials add tremendous value to microlithography in general and to EUV litho in particular. When a single EUV stepper costs 120 million dollars and exposes 10 million wafers before wearing out, the CapEx depreciation per wafer is 12 dollars. In comparison, a high-value-add litho material may cost just 1 to 2 dollars per wafer to significantly improve the speed or resolution of the patterning.”

One significant change in the regulatory landscape is increasing restrictions on the use of N-methyl-2-pyrrolidone (NMP) as a solvent in microlithography. NMP has been widely used in other industries and toxic residues can remain in consumer products, so the material is now on the U.S. EPA’s Top10 list of priorities for regulation in 2019. Suppliers are working with fabs to find a less-toxic solvent to replace NMP.

Suppliers covered in this report include: Avantor, Brewer Science, Chang Chun, Dongwu Fine-Chem, DowDuPont, Eastman Chemical, FujiFilm, JSR, Kempur, KMG (Cabot Microelectronics), Merck/EMD, Moses Lake Industries, Nissan Chemical, SACHEM, Shin-Etsu, Sumitomo, Tama Chemical, and Tokyo Ohka Kogyo.

Purchase Reports Here: Photoresists and Ancillaries

For additional information about these reports or CMC Fabs membership please contact Diane Scott at [email protected] +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.


September 21, 2018

IC Specialty Cleaning Materials US$1B Market in 2022

Custom chemistry blends for exacting applications

San Diego, CA, September 21, 2018: TECHCET—the advisory services firm providing electronic materials information— announced that global IC fabrication demand for specialty cleaning materials is forecasted to be over US$800 million in 2018, and growing steadily to over US$1 billion by the year 2022. The highest growth rate, at over 15 percent CAGR, is seen in post-etch residue removal (PERR) specialty chemical formulations for 22nm-node and smaller ICs. New company entrants to the market are attracted by such growth rates, but formulations cannot be simply copied due to trace-components which directly influence process results, as detailed in the latest TECHCET Critical Materials Report (CMR) on specialty cleans.

“An interesting factor is that while new chemistries and processes are being developed to serve the leading edge of IC fabrication, there is also steady growth in demand for legacy cleaning solutions to support manufacturing of chips for IoT and consumer markets,” said Terry Francis, TECHCET senior analyst and author of the report. “The transition point for where the need for specialty cleans becomes exponentially more challenging is the 22nm node.”

Cleaning chemistry for chemical-mechanical planarization (post-CMP) must be tuned to the specific slurry chemistry used and to the materials exposed by final planarization. The number of CMP steps are increasing for advanced IC fabrication, not only in the metal layers for on-chip interconnect but also to planarize poly-silicon and silicon-germanium (SiGe) as part of transistor formation.

Suppliers covered in this report include: Air Liquide, AUECC (Linde), Avantor (Mallinckrodt Baker), BASF, Dongwoo Fine Chemicals, DowDuPont, Eastman Chemical, Entegris, FUJIFILM Ultra Pure Solutions, Honeywell International, Israel Chemicals, JiangyinJianghua Microelectronics Materials (JM), Jiangyin Runma Electronic Material, Kanto Chemical, KMG Chemicals, Mitsubishi Gas Chemical Group, Peroxychem, Solvay, SACHEM, and Versum.

Purchase Reports Here: Specialty Clean

For additional information about these reports or CMC Fabs membership please contact Diane Scott at [email protected] +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.


September 07, 2018

Supply Chain Challenges in $650M Sputtering Target Market

Tungsten, Cobalt, and Rare Earth Elements in flux

San Diego, CA, September 07, 2018: TECHCET—the advisory services firm providing electronic materials information— announced that the sputtering target market for semiconductor applications is now estimated to reach US$650 million in 2018, an increase 5.4 percent year-over-year. Volumes are growing in excess of 6 percent year over year, with higher growth in the interconnect metals tungsten, tantalum, and copper. While those metals that support 300mm wafers will have the strongest growth rates, TECHCET also sees stable growth for aluminum and titanium targets to support 200mm wafer fabs making power, analog, and “More-than-Moore” chips. The world-wide semiconductor sputtering target market in 2023 is forecast to be US$773 million, as detailed in the most recent Critical Materials Report (CMR) on sputter targets.

“Supply chain conditions in the sputtering target market are changing due to business, economic, and political trends occurring globally,” reminded Dr. Dan Tracy, TECHCET senior analyst and lead author of the report. “We’ve seen consolidation in the sputtering target supply chain over the past year, and we describe the market as highly dynamic. Also, for materials with limited sources around the world and complex supply chains, there are concerns about accidentally sourcing possible conflict-materials despite best efforts.”

At the leading-edge of IC fabrication, some sputtering steps will be replaced by atomic-layer deposition (ALD) and chemical vapor deposition (CVD). Deposition technology choices will alter supply-chains and pricing of metals over the forecast period, in particular with tungsten, cobalt, and rare-earth materials. Titanium metal supply remains stable, though growth in the aircraft industry dominates supply/demand trends in this metal market. Tantalum is mainly sourced from lithium mining and tantalum ore could go into surplus in the forecast years due to increased lithium mine production needed for electric vehicle battery demand. TECHET continues to track how tariffs and trade-wars are impacting the global supply chain.

Suppliers covered in this report include: GO Element Corp, General Research Institute for Nonferrous Metals (GRIKIN), Honeywell Electronic Materials, Konfoong Materials International Co., Ltd. (KFMI), JX Nippon Mining & Metals, Materion Advanced Materials, Praxair Surface Technologies, Tanaka Precious Metals, Tosoh SMD, and Umicore Electro Optic Materials.

Purchase Reports Here: Sputter Targets

For additional information about these reports or CMC Fabs membership please contact Diane Scott at [email protected] +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.


July 25, 2018

US$1B Global Market for Quartz Growing Amidst Fluctuating Lead-times

Wafer Shortage affecting Silicon Components Market

San Diego, CA, July 25, 2018: TECHCET—the advisory services firm providing electronic materials information— announced that the global market for consumable quartz components used in semiconductor manufacturing equipment in 2017 was over US$1 billion in revenues, having grown 26 percent from 2016. Some lead-times for delivery in 2017 doubled due to the high demand, and the supply-chain is still constrained for hot-work parts. The market for fabricated quartz parts in 2018 will continue to be strong, although growing at a lower rate of 9 percent over 2017, and with longer-term CAGRs moderating. The consumable silicon components market, at about half the size of the quartz market, shows similar dynamics. Recent silicon material price spikes are expected to normalize as we move into 2019, detailed in the latest TECHCET Critical Materials Reports (CMR) for quartz and for silicon equipment components.

“The silicon materials market now has a healthy number of suppliers that compete for fabs shopping for spare parts. However, some suppliers will likely not be able to survive the next correction, if the supply-chain keeps growing. The recent raw material price increases due to the silicon wafer shortage have been difficult for the supply-chain to absorb,” explained Kuang-Han Ke, TECHCET senior analyst and author of the report. “Meanwhile, quartz supply and demand seems to have returned to a healthy balance, and the leading suppliers are working hard to differentiate their portfolios with new base material offerings.”

Quartz parts suppliers covered in this report include: Applied Ceramics, Beijing Kaide Quartz, DS Techno, Ferrotec, GM Associates, Han Yung, Hangzhou Dahe Thermo-magnetics, Hayward Quartz Technology, Heraeus Quarzglas, Heraeus-Shin-Etsu Quartz Products (HSQP), Hsin Yui Technology, Kumkang Quartz, Maruwa, Quality Quartz Engineering, Quick Gem Optoelectronic S & T (QGOE), Shin-Etsu Quartz, Techno Quartz, TOSOH Quartz, Worldex Industry & Trading (West Coast Quartz), WONIK QnC, and Xycarb Ceramics.

Silicon parts suppliers covered in this report include: Applied Ceramics, Atecom Technology, CoorsTek, DS Techno, Ferrotec, Global Wafers (SunEdison Semiconductor), Hana Materials, Hayward Quartz Technology, IMS Daewon, SKC Solmics, Sanwa Engineering, Silfex, Siliciumbearbeitung Andrea Holm, SungRim, Techno Quartz, Thinkon Semiconductor, Worldex Industry & Trading (West Coast Quartz), Xycarb Ceramics, and Yerico Manufacturing.

Purchase Reports Here: https://techcet.com/product-category/consumable-equipment-components/.

For additional information about these reports or CMC Fabs membership please contact Diane Scott at [email protected] +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.


July 09, 2018

2018 CMC Seminar / ICMtia Conference Joint Event in Ningbo, China

SAVE THE DATE: October 30-31, 2018

San Diego, CA, July 09, 2018: TECHCET—the advisory services firm providing electronic materials information— announced that the 2018 Critical Materials Council (CMC) Seminar will be held in Ningbo, China in coordination with the IC Materials and Components Industry Technological Innovation Alliance (ICMtia) of China’s annual event. This will be a joint conference 2-day event, October 30-31, for registered attendees and members from both the ICMtia and CMC. Following this public event will be 1.5-days of private CMC face-to-face meetings.

“We are very happy to be working with the ICMtia, who will be hosting the event in Ningbo, China, for the mutual benefit of both our member organizations,” said Lita Shon-Roy, TECHCET President and CEO. “IC fabrication is a global business based on global supply-chains, and our events will cover pre-competitive best-practices in ensuring quality, safety, and trace-ability in semiconductor fabrication materials.”

“We are excited that the CMC Seminar and private meeting will be located in Ningbo this year, and look forward to meeting the CMC Members,” commented Ingrid Shi, ICMtia Secretary General. “SMIC, China’s largest IC wafer foundry company, has committed to promoting the development of the IC industry supply-chain in Ningbo, less than 200 kilometers south of Shanghai on the coast.”

The first phase of construction to support IC manufacturing, including materials, parts, and other special projects is planned to be completed and put into use in 2018. Located in the Beilun Chaiqiao District of Ningbo, regions are divided into high-end manufacturing and production areas, R&D service complexes, and ecological and cultural areas. When including the Lingang Chemical District and the development of space on the south side of the region, the planned area can reach 35 square kilometers.

Event information: https://cmcfabs.org/cmc-events/

ABOUT TECHCET: TECHCET CA LLC is an advisory service firm focused on process materials supply-chains, electronic materials technology, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the SEMATECH Critical Material Reports, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. For additional information about these reports or CMC Fabs membership please contact Diane Scott at [email protected] +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.

ABOUT ICMtia: IC Materials and components industry Technology Innovative Alliance (ICMtia) is a Chinese organization promoting the production, application, research and development of IC materials and components in industry. The alliance is also trying to build up the domestic material and components supply chain and stimulating cooperative development. The organization is a non-exclusive, non-profit that guarantees fairness, impartiality, and sustainable development. The alliance was established in 2012. For additional information about ICMtia activities please contact Manyu Dai at [email protected] +86-574-86120213 or go to www.icmtia.com.

For additional information about these reports or CMC Fabs membership please contact Diane Scott at [email protected] +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.


July 03, 2018

ALD/CVD Precursors Market Reaches $1.3B by 2023

Cobalt sources highly strategic through 2023

San Diego, CA, July 3, 2018: TECHCET—the advisory services firm providing electronic materials information— announced that strong growth in IC fabrication demand for atomic-layer deposition (ALD), chemical-vapor deposition (CVD), and spin-on dielectric (SOD) precursors should result in a combined global market size of US$1.3 billion by the year 2023. Specifically, metal precursors are expected to see a compound annual growth rate (CAGR) of 6.2 percent through 2023 starting from $460 million in revenues for this year, as detailed in the latest TECHCET Critical Materials Report (CMR). The market for dielectric materials is forecasted to be $465 million this year with CAGR of 8.2 percent through 2023, as detailed in the latest CMR.

“Plasma enhanced CVD and ALD processes drive increasing demand for silicon precursors, used in depositing the 32-72 layers of 3D-NAND chips and in self-aligned multiple patterning for advanced logic and memory chips,” explained Dr. Jonas Sundqvist, TECHCET senior analyst and author of the report. “We see a need for more advanced ALD/CVD precursor production in China, to support more leading-edge logic and memory fabs ramping production there.”

Cobalt (Co) metal is being used to encapsulate copper on-chip multi-level interconnects in the most advanced logic fabs for both foundries and IDMs. Intel is using full cobalt interconnects to replace some of the copper levels in it’s newest logic chips. The conservative demand forecast for cobalt metal in the form of ALD/CVD precursors for logic IC fabs is $25 million in 2018, with considerable growth to $70 million by 2023.

Due to the competitive demand for cobalt needed for the lithium batteries used in EVs, and due to conflict issues in the supply-chain, cobalt metal pricing is volatile and reliable forecasting is correspondingly difficult. To anticipate supply:demand imbalances, TECHCET tracks ALD and CVD precursor demands in competing high volume manufacturing (HVM) industries such as flat-panel display, photovoltaics, MEMS, and LED.

Suppliers covered in this report include: Adeka, Air Liquide, Azmax part of Azuma group, BASF, DNF “Dream New Future”, Dow Corning, Digital Specialty Chemicals (DSC), DowDuPont, Entegris, Epivalence, FujiFilm, Gelest, H.C. Starck, Kojundo Chemical Laboratory, Merck’s EMD Performance Materials, Nanmat Technology, Norquay Technology, Nova-Kem, Nanogen Solutions, Pegasus Chemicals, Praxair, Soulbrain, STREM, TCI Chemicals, Tri Chemical Laboratories, Umicore, UP Chemical, Versum Materials.

For additional information about these reports or CMC Fabs membership please contact Diane Scott at [email protected] +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.


TNews

Freeman and Tracy Enrich Ranks of TECHCET Analysts –

Far-sighted Experts Recently with Gartner and SEMI, respectively

TECHCET—the advisory services firm providing electronic materials information— announced that seasoned industry experts Dean Freeman and Dan Tracy have recently joined the firm as senior analysts. Freeman was most recently a Market Research Vice President for Gartner, and now covers Silicon Wafer markets and related supply-chains for TECHCET. Tracy was most recently the Senior Director of Industry Research and Statistics for SEMI, and now covers Sputter Targets markets and supply-chains for TECHCET.

“TECHCET maintains a laser-like focus on anticipating and mitigating critical materials issues for the benefit of the Critical Materials Council (CMC) and the global industry at large,” said TECHCET President and CEO Lita Shon-Roy. “Adding Dean and Dan to our team of semiconductor materials analysts allows us to increase our coverage of the critical issues associated with maintaining existing supply-chains, as well as integrating new materials into global IC fabs.”

Dean W. Freeman has over 30 years of semiconductor manufacturing and materials expertise, including work in a fab and for semiconductor equipment manufacturers. He had responsibility for every aspect of the semiconductor manufacturing process, from wafer selection to final passivation. Prior to Gartner, he worked at FSI, Watkins Johnson, Lam Research, and Texas Instruments. Freeman has nine patents, a B.S. in Chemistry and Earth Science from Whitworth College, and a M.S. in Physical Chemistry from University of Nevada Reno.

Dr. Dan P. Tracy has over 30 years of materials engineering and market research experience in semiconductor manufacturing. In his role with SEMI, he hired and managed a global market research team of four that provided globally recognized market intelligence and services to member companies and others. Prior to his 18 years with SEMI, he learned the dynamics of IC fab markets by working with Dan Rose of Rose Associates on materials forecasts. Tracy has a B.S. in Chemistry from State University of New York, an M.S. in Materials Science and Engineering from Rochester Institute of Technology, and a Ph.D. in Materials Engineering from Rensselaer Polytechnic Institute.

For additional information about these reports or CMC Fabs membership please contact Diane Scott at [email protected] +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.


June 08, 2018

Over $40B Fab Materials Market Led by Silicon Wafers –

Silicon wafer revenues grew 21% in 2017

San Diego, CA, June 08, 2018: TECHCET—the advisory services firm providing electronic materials information— announced that global semiconductor wafer fabrication process materials market grew by 9 percent year-over-year (Y/Y) to reach US$41.4 billion in 2017. Silicon wafers are one of the main drivers for this growth, with the cummulative area of all wafer sizes shipped in millions of square inches (MSI) growing 9.9 percent Y/Y, along with revenues increasing 21 percent. The forecasted growth for 2018 of MSI and revenues are estimated at 6 percent and 22 percent, respectively, as detailed in the latest TECHCET Critical Materials Report (CMR) on Silicon Wafers.

Silicon wafer supplier activities include the following:
o GlobalWafers is operating at full capacity, which will increase by 7 percent in 2018,
o Siltronic and SUMCO are both increasing capacity, and
o New Chinese entrants to the market will add over 1.2 million WSPM by 2020 potentially creating a significant oversupply in the market.

“Global semiconductor wafer revenues increased by 36 percent year-over-year in the first quarter of 2018, so the industry should be able to fund additional capacity,” commented Dean Freeman, TECHCET senior analyst and author of the report. “SEH is the only Top-6 supplier to have not yet made an expansion announcement, however it is very likely that they will add capacity to defend their top market-share position.”

TECHCET’s proprietary wafer-demand forecast predicts a deficit in supply through the year 2020 and possibly into 2021. Semiconductor fabs producing devices on 150mm and 200mm diameter wafers are now running at maximum capacity, and are expected to maintain high demand for silicon. Wafers <=150mm in diameter saw MSI growth of 19 percent Y/Y, while 200mm wafers experienced healthy growth of 15 percent Y/Y.

Suppliers covered in this report include: Ferrotec, GlobalWafers/SunEdison, Hemlock Semiconductor (HSC), National Silicon Industry Group (NSIG) of China, Okmetic Ojy, Shin Etsu Hondotai (SEH), Siltronic, SK Siltron, SUMCO, Wacker Chemie AG, and Wafer Works.

Purchase Reports Here: https://techcet.com/product/silicon-wafers/

For additional information about these reports or CMC Fabs membership please contact Diane Scott at [email protected] +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.


February 05, 2018

Silicon Wafer Prices Increase Twenty Percent –

Supply Tightness Likely Through 2020

San Diego, CA, February 05, 2018: TECHCET—the advisory services firm providing electronic materials information— announced that limited supplies of both 200mm- and 300mm-diameter silicon wafers caused greater than 20% year-over-year (YoY) price increases by the end of 2017. Such price increases have not been seen in the last decade, and are forecasted to continue at similar levels through at least the first half of 2018, as detailed in the latest update from TECHCET’s Critical Materials Report (CMR) on Silicon Wafers, recently presented to the Critical Materials Council (CMC).

“While wafer price increases result in cost increases for semiconductor device fabrication, they represent a long-term positive for the health of the supply-chain,” explained Michel Walden, TECHCET senior analyst and author of the report. “Wafer price declines over the last few years had prevented silicon suppliers from investing in needed capacity expansions. With a turnaround in prices, some of the top-five suppliers have announced capacity expansions that should improve the supply-demand balance, but we see continuing concern for the next two to three years.”

TECHCET’s proprietary wafer-demand forecast predicts a deficit in supply through the year 2020 and possibly into 2021. The cumulative annual growth rate (CAGR) of demand for all silicon wafers in millions of square inches (MSI) is forecasted at > 3% over the period from 2017 through 2021. Growth in automotive and IOT applications is driving solid recovery in demand for 200mm-diameter silicon wafers, while consumer electronics growth continues to pull consumption of 300mm silicon.

Suppliers covered in this report include: Ferrotec, GlobalWafers/SunEdison, Hemlock Semiconductor (HSC), National Silicon Industry Group (NSIG) of China, Okmetic Ojy, Shin Etsu Hondotai (SEH), Siltronic, SK Siltron, SUMCO, Wacker Chemie AG, and Wafer Works.

Purchase Reports Here: https://techcet.com/product/silicon-wafers/

For additional information about these reports or CMC Fabs membership please contact Diane Scott at [email protected] +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.


January 18, 2018

CMP Materials Market to Reach $2.4B by 2022 –

Slurry and Pad Sub-Markets both ~6% CAGR

San Diego, CA, January 18, 2018: TECHCET—

the advisory services firm providing electronic materials information— announced that increased use of 3D structures in commercial ICs leads to the need for more Chemical-Mechanical Planarization (CMP) process steps in commercial IC fabrication to keep the different layers properly aligned. The growing importance of CMP technology will lead to steady growth in the market for consumable materials. In particular, colloidal ceria slurry technology is a growing opportunity for 3D-NAND fabrication, as detailed in the latest quarterly update to the TECHCET Critical Materials Report (CMR) on CMP Slurry and Pads.

“The number of CMP process steps from 28nm- to 10nm-nodes has doubled, and advanced nodes clearly offer more opportunities for CMP and the need for more process consumable products,” explained Diane Scott, TECHCET senior analyst and author of the report. “Based on our proprietary models, at and below the 14nm-node the transistor-level CMP steps exceed the number used to form metal interconnects.”

Due to the recent introduction of cobalt (Co) metal for on-chip interconnects, TECHCET is now tracking direct materials for Co CMP. The rapidly growing market for cobalt CMP slurry is estimated to be about US$4M this year, using slurry sets derived from those used in copper (Cu) CMP. Global slurry and pad revenues for all IC CMP processes are forecast to reach US$2.4 billion by the year 2022.

Suppliers covered in this report include: Ace Nanochem, Adcon Lab, Anii Microelectronics, Asahi Glass, BASF, Bailkowski/PSB, Cabot, Dongjin SemiChem, DowDupont, Eka Chemical/Akzo Nobel, Eikem, Eminess Technologies, Entrepix, Evonik Industries, Ferro, FujiFilm, Fujimi, Fuso Chemical, General Engineering and Research, W.R.Grace, Hitachi Chemical, Innovative Organics, Intersurface Dynamics, JGC C&C, JSR, KC Tech, Kemesys, Merck (incl. former AZ Chemical), Mitsui Mining & Smelting, Nalco, Nano Phase Technologies, Nissan Chemical Industries, Nitta-Haas, Precision Colloids, Rhodia (Solvay), Samsung (Cheil Industries), Showa Denko, Sibond, Saint-Gobain, Soulbrain, UK Abrasives, U Wiz Technology, Universal Photonics, Versum, Wacker Chemie

Purchase Reports Here: https://techcet.com/product/cmp-slurry-and-pads-only/

For additional information about these reports or CMC Fabs membership please contact Diane Scott at [email protected] +1-480-332-8336, or go to www.techcet.com


September 1, 2017

Sputter Targets Supply Chain Issues Revealed – Copper , Cobalt and Ruthenium –

Cost Increases Expected

San Diego, CA, September 1, 2017: TECHCET —

the advisory service firm providing electronic materials information— announced that copper raw material costs are expected to increase as demand outpaces supply in 2018. Meanwhile, demand for more exotic metals such as cobalt, ruthenium, and tantalum is expected to strain supply-chains starting next year. Trinary, quaternary, or even quinary material targets are needed to process the most advanced non-volatile memory (NVM) chips. In this highly dynamic time, there is financial pressure for corporate mergers and acquisitions among suppliers of metal sputtering targets.

Raw material accounts for 10% to 20% of physical vapor deposition (PVD) sputtering target costs. The total available market (TAM) for copper targets is currently growing at just ~3.2% CAGR, while there has been a lack of new investments in mining and refineries. Consequently, copper target prices may increase as the semiconductor manufacturing industry heads into 2019.

“We also can see a disruption in the targets market starting in 2018 due to the beginning use of cobalt and ruthenium interconnects for continued CMOS scaling in advanced nodes,” explained Terry Francis, TECHCET senior analyst and author of the report. “Cobalt supply is problematic because it is a conflict material with some sources tied to ‘artisinal mining’ in the DRC, and prices have risen by 150% recently due to demand for the element as an alloy in lithium batteries for electrical vehicles.”

A positive side-effect of escalating lithium battery manufacturing volumes has been an increase in lithium metal refining and associated tantalum tailings. Tantalum metal supply should now exceed global demand for the near-term, resulting in stable pricing for PVD targets used in IC fabs. New and niche non-volatile memory (NVM) technologies including magnetic RAM (MRAM), ferroelectric RAM (FRAM or FeRAM), and phase-change memory (PCM) all require small production quantities of highly value-added multi-element alloy blends as targets.

Suppliers covered in this report include: Grikin, Heraus, Honeywell, JX Nippon, KFMI, Kennemetal, Materion, Plansee, Praxair, H.C. Starck, Tanaka, Tosoh SMD

Purchase Reports Here: https://techcet.com/product/sputter-targets/