2019 – TECHCET News


November 25, 2019 – Cobalt and Nickel Targets Super Strategic for IC Fabs

November 21, 2019 – 2020 CMC Conference & Call For Papers

TNews – Preventing Yield Losses a Key Topic of Critical Materials Council Meetings and Seminar

October 17, 2019 – CVD SiC Strong Amid Weak Demand for Structural Ceramics in OEM Tools in Downturn

October 10, 2019 – Chinese and Korean Materials Suppliers Profiting from Japanese Politics

October 2, 2019 – Global Market Remains Strong for ALD & CVD Precursors in IC Fabs

September 10, 2019 – Fab Materials Resilient Despite 2019 Downturn – 2020 Expected to Resume Steady Growth

TNews2 – 4th CMC Seminar Focus on Materials Quality – Quality Excursions Can Cost Fabs Hundreds of Millions of Dollars

TNews3 – Micron VP Keynote for the 4th CMC Seminar – Event in Taiwan 17 October will feature a keynote from Micron Technologies

August 06, 2019 – Quartz Revenues a Positive Sign Amidst Equipment Sales Declines

July 22, 2019 – Litho Materials Market Growing to $3.2B in 2019 Despite Trade Wars

June 19, 2019 – CMP Materials Market Reaches $2.7B Amid Signs of Orders Slowing in 2019

May 7, 2019 – 4th CMC Conference Enabled Critical Information and Connections – Event in Albany area 25-26 April featured GlobalFoundries keynote and presentations

April 3, 2019 – China Fab Materials Trade Facing Uncertainty – Tariffs and Supply-Chains discussed at SEMICON China

January 9, 2019 – Semiconductor Materials Market will be +3% to $50.4B in 2019 – TECHCET’s Forecast Remains Strong Despite Trade Wars


November 25, 2019

Cobalt and Nickel Targets Super Strategic for IC Fabs

San Diego, November 25, 2019: TECHCET announced that the global market for Physical Vapor Deposition (PVD) Sputter Targets is declining by 1.5% in response to semiconductor fabrication market challenges in 2019. However, 5% growth is forecasted for 2020, with the non-precious-metal segment expected to reach US$690 million. Including precious metals the 2020 Sputter Target market is expected to reach US$1,084 million, as detailed in the latest Critical Materials Report™ (CMR) quarterly update on Sputter Targets (see Figure).

Sputter Target

“Demand for ‘Other’ target metals such as nickel, cobalt, and multicomponent alloys are increasing,” explained Dr. Dan P. Tracy, TECHCET senior analyst and author of the report. “For emerging strategic applications, device makers may need to work with smaller, tier-2, suppliers, as their mainstay suppliers typically refrain from small volume
sub-markets.”

Overall, the Sputter Target manufacturing base is stable and keeping pace with industry. In the near term, TECHCET is tracking pricing trends for key metals such as tantalum and Platinum Group Metals (PGM).

This report covers the following suppliers: Furuya Metals, GO Element, Grikin, Honeywell, JX Nippon, KFMI, Materion, Pioneer Materials, Praxair/Linde, Sumitomo, Tanaka, Top Metal Materials, Tosoh SMD, Solar Applied Materials Technology, Umicore, VEM, and Vital Materials.

Purchase Reports Here: Sputter Targets


November 21, 2019

2020 CMC Conference & Call For Papers

San Diego, CA, November 21: The Critical Materials Council (CMC) of semiconductor fabricators and TECHCET announce a Call For Papers (CFP) to be presented at the 2020 CMC Conference, happening April 23-24 in Hillsboro, Oregon. As per the prior CMC Conferences (cmcfabs.org/cmc-conference-2020/), the 2020 event will explore actionable technical and supply-chain trends related to critical materials for global semiconductor fabs. Keynote address for this 5th CMC Conference will be:

“Critical Materials Pushing the Limits for Semiconductor Manufacturing”
Bruce Tufts, Vice President of Technology and Director of Fab Materials Organization, Intel Corp.

The conference committee is soliciting presentations on best practices of sourcing direct and indirect manufacturing materials for pilot lines and for high-volume manufacturing (HVM). Three sessions will cover the following themes:
I. Global value-chain issues of economics and regulations,
II. Immediate challenges of materials & manufacturing, and
III. Emerging materials in R&D and pilot fabrication.

CMC member companies will be attending the public CMC Conference, which follows the annual members-only CMC meeting to be sponsored by Intel and held April 21-22. Conference attendees will include industry experts handling supply-chains, business-development, R&D, and product management, as well as academics and analysts. Business drives our world, but technology enables the profitable business of manufacturing new devices in IC fabs, and new devices need new materials.

To submit a paper for consideration, please email us a 1-page abstract focusing on critical materials supply dynamics by January 15, 2020.

For more information on CMCFabs or CMC Associate Memberships, please contact Diane Scott. For information on sponsoring the CMC Conference please contact Yvonne Brown, +1-480-382-8336 x1.


TNews

Preventing Yield Losses a Key Topic of Critical Materials Council Meetings and Seminar

At the recently concluded TECHCET Critical Materials Council (CMC) Seminar in Taoyuan, Taiwan, a diverse gathering of industry experts discussed materials value-chain topics including quality issues, logistics best practices, and geopolitical disruptions. Seminar participants included individuals from device makers, material suppliers, and equipment and component providers from China, Europe, Japan, South Korea, Taiwan, and the U.S.

The keynote presentation by Mr. K. C. Hsu, Vice President of Micron Memory Taiwan, highlighted that, “data is today’s global currency,” and this is especially pertinent in high volume manufacturing (HVM). Data and information sharing along the materials supply-chain will allow semiconductor fabs to de-bottleneck supply and logistic issues. Early detection of materials quality and variability is critical to protect fab lines from yield excursions. As an example, Linde Electronics presented a thorough approach for de-bottlenecking the bulk specialty gas supply-chain from gas production through delivery to consumption in the fab.

Jenny Tan

As shown in The Figure, Ms. Jenny Tan, Sr. Manager for Global Procurement of Micron Technology, presented on “Challenges of Global Supply Chains” during the public CMC seminar. With HVM IC lines in the U.S., Japan, Singapore, and Taiwan to make commercial memory chips for the world, Micron Technology has to keep up the quality of critical materials sourced from both global and local suppliers. Seminar attendees also enjoyed presentations from Industrial Technology Research Institute (ITRI Taiwan), Pall Corp., United Silicon Innovation Corp., Air Liquide, ST Microelectronics, Lam Research Corp., and TECHCET analysts. Private discussions during coffee-breaks allowed attendees to network with industry experts.

Private Face-to-Face Meetings
Hosted by Micron Taiwan, the 2019 Fall CMC Fab Members private meeting occurred October 15-16. In addition to materials suppliers, representatives of the following semiconductor fabs attended this powerful meeting: Broadcom, Cypress, GlobalFoundries, Infineon, Intel, Micron, Nexperia, OnSemiconductor, Samsung, Sony, ST Microelectronics, TSMC, Texas Instruments, and TowerJazz Panasonic.

Topics discussed included trends influencing helium pricing, timely notification of materials end-of-life, and best practice to support growth of new suppliers. Fabs need more insights into sub-tier suppliers to avoid disruption and quality issues, and they typically do not talk directly with sub-tiers but expect direct suppliers to be in charge of communications. CMC members are seeing new Chinese suppliers approaching fabs directly, and this is an opportunity to develop improved protocols for assessing risk.

The afternoon of October 16th featured a joint session with Fab members and Supplier members talking about “The Hidden Cost of Supply Chain Disruption.” Much of the discussion in this session circled around the current geopolitical environment adding uncertainty and instability to the already stressed supply-chain. While trade disputes rage between national governments with no end in sight, commercial semiconductor fabs must keep shipping chips to keep our modern world working.


October 17, 2019

CVD SiC Strong Amid Weak Demand for Structural Ceramics in OEM Tools in Downturn

San Diego, CA, October 17, 2019:

TECHCET—the advisory services firm providing electronic materials information— announced that the 2019 global market is softening for structural ceramics components needed in semiconductor Original Equipment Manufacturer (OEM) tools. Reports of ~ 15% year-over-year (YoY) drop in OEM tool sales are somewhat balanced by ceramic components growth in parts of Asia being net positive in 2019, so overall world-wide ceramic components sales are forecasted to be US$1.37 billion in 2019, which is just -5% YoY. A slow recovery is expected in 2020 leading to a forecasted ~4% compound annual growth rate (CAGR) over 2018-2023.

The highlights of the structural ceramics market include CVD silicon-carbide (SiC) and High Purity Alumina (HPA) parts. In particular, CVD SiC sales are supported by the trend of replacing quartz and alumina in the most advanced process chambers. CVD SiC is expected to grow >4% per year through 2023, and it is possible that >50% of SiC parts will be using CVD SiC in some form, including SiC:Graphite composites and CVDSiC on SiC components. Many components suppliers serve this market, although the top 3 companies in the lead remain Tokai Carbon, CoorsTek, and Asahi Glass (see Figure).

CVD SiC Market

This report covers the following suppliers: ADMAP, Applied Ceramics, Asahi Glass, Bridgestone, Carborundum, Ceredyne/3M, CoorsTek Semiconductor Equipment Component, CoorsTek-Saint Gobain, CoorsTek, DuPont, Entergis, Ferrotec, Hitachi Chemical, Kyocera, Maruwa, Mersen, Morgan Technical Ceramics, NGK/NTK, SGL Group, Solmics, Toyo Tanso, Tokai Carbon Korea, Tokuyama, USTC, Worldex, Xycarb Ceramics, and more!

Purchase Reports Here: TECHCET Reports


October 10, 2019

Chinese and Korean Materials Suppliers Profiting from Japanese Politics

San Diego, CA, October 10, 2019: TECHCET—the advisory services firm providing electronic materials information—announced that Chinese materials suppliers are moving to take marketshare at South Korean chip fabrication (fab) lines. Due to residual animosity from 20th century wars, Japanese politicians decided to use the semiconductor materials supplier-chain as a pawn in a political chess game and un-white-listed South Korea from exports. Any good-will between the two nations was immediately erased, and South Korea announced a US$6B government investment to as a huge driving force to help develop local supplies. Now Chinese chemical suppliers have unprecedented openings to qualifications at Korean-owned fabs, including commercial memory fabs in China.

According to the official July 16 announcement of China’s New Materials Industry Alliance of Electronics and Chemical Industry, Befar Group’s electronic hydrofluoric acid has successfully received batch orders from some Korean semiconductor manufacturers. After many batches of sample testing and small batch testing, Befar Group finally established a formal partnership with Korean enterprises. Then on September 3rd, South Korea’s Yonhap news agency reported that according to an “informed official” Samsung Electronics has begun replacing HF sourced from Japan with critical material from local suppliers.”

“New sources of HF for high-volume manufacturing of semiconductors must be qualified though extensive split-lot experiments to ensure that there will be no yield losses,” reminded Lita ShonRoy, President and co-founder of TECHCET. “Our industry sources indicate that qualifications of Chinese chemical suppliers, like Befar, is of high interest to Korean chip fabricators. Now the door is open, and Chinese suppliers are on the ready to get qualified by South Korean electronics manufacturers, especially at Samsung Xian and SK hynix Wuxi.”

Fluorspar mineral is the raw material source for HF, and most (~60%) of the world’s fluorspar is mined in China and to a lesser degree (< 20%) from Mongolia. Fluorspar supplies remain tight worldwide with much of Mongolia’s 2019 production having already been sold into Chinese and other Asian markets, with continuing strong demand from these markets and good prospects for additional sales. Over the past year, supply-chains outside of China have tried to qualify local fluorspar sources, but the Asian region will remain very dependent on China for this important mineral and for fluorinated gases.

TECHCET is tracking the following Chinese materials suppliers: Anji Microelectronics Co.,, Befar Group Co., Grikin, Guangdong Huate Gas Co., Hefei TNJ Chemical Industry Co., Hubei Feilihua Quartz Glass Co., Jiangsu Denoir Technology Co., Jiangyin Jianghua Microelectronics Materials Co., Kempur Microelectronics, Konfoong Materials International Co. (KFMI), Linggas dba Green Link Electronic Materials Co., Rudong Zhenfeng Yiyang Chemical Co., Shanghai Phichem Material Co., Shanghai Sinyang Semiconductor Materials Co., Suzhou Ruihong Electronic Chemicals Co., Yingde Gases Group Co., Zhangjiagang Free Trade Zone Monheit International Trade Co., and Zhejiang Yongtai Technology Co.

Purchase Reports Here: https://techcet.com/product/china-briefing/


October 2, 2019

Global Market Remains Strong for ALD & CVD Precursors in IC Fabs

San Diego, CA, October 2, 2019: TECHCET—the advisory services firm providing electronic materials information— announced that the global market for atomic layerdeposition (ALD) and chemical vapor deposition (CVD) precursors is showing strong growth despite semiconductor fabrication market challenges in 2019. CVD growth is mainly in plasma-enhanced CVD (PECVD) and metal-organic CVD (MOCVD) for silicon ICs and for newer devices including micro-displays, RF for 5G, and photonics. The combined ALD and CVD metal precursor market is estimated to be approximately US$582M in 2019 growing 6.3% from the prior year and forecasted to grow above US$930M by 2025, as detailed in the latest Critical Materials Report™ (CMR) on ALD / High-K Metal Precursors (see Figure).

Advanced Metal ALD/CVD Precursor Revenues Forecast

“Today, the top three suppliers ADEKA, Air Liquide, and Versum dominate the market by controlling ~75% of the segments,” explained Dr. Jonas Sundqvist, TECHCET senior technology analyst and author of the report. “However, due to the recent development that Merck will acquire Versum, there is a good chance that by doing so it will become the number one supplier for all type of metal, High-κ, and dielectric precursors.” The CVD, ALD, and SOD market includes from both specialty gases (e.g. WF6) and liquid precursors, as well as a considerable segment of solid precursors (e.g. HfCl4, PDMAT). In addition, there are smaller segments for precursors that still do not reach annual sales of >US$5 million such as ruthenium and rare earth elements (REE).

This report covers the following suppliers: ADEKA, Air Liquide, Air Products, AZmax Co., BASF, DNF Co., Entegris, Epivalence, FujiFilm, Gelest, Hansol Chemical, H.C.Starck, Kojundo, Linde (Praxair), Mecaro, Merck EMD, Nanmat, Norquay, Pegasus Chemicals, Soulbrain, Strem, Tanaka Kikinzoku Group, Tokyo Chemical Industry Co.,Tri Chemical Laboratories, Umicore, UP Chemical (Yoke), and Versum.

Purchase ALD/CVD Reports Here: TECHCET Reports


September 10, 2019

Fab Materials Resilient Despite 2019 Downturn

2020 Expected to Resume Steady Growth

San Diego, CA, September 10, 2019: TECHCET—the electronic materials advisory services firm providing business and technology information— forecasts that global trade wars have reduced downstream demand for semiconductors although semiconductor fabrication (fab) materials markets will shrink only slightly in 2019. In April of this year TECHCET had forecast growth of ~3.0%, while the updated forecast shows a shrinking of ~1.4% total market in the global market for semiconductor manufacturing materials to reach a total of over US$47 billion (see Figure). The forecasted compound annual growth rate (CAGR) through the year 2023 has correspondingly been reduced from 4.3% to 3.6% in anticipation of reduced steady growth.

TECHCET-forecast-Global-Materials

Dr. Dan P. Tracy, Director of Market Research & Sr. Analyst of TECHCET, provided this update on the global semiconductor manufacturing materials market on September 10th at the 2019 China Semiconductor Materials Innovation and Development Conference sponsored by China’s Integrated Circuit Materials & Components Industry Technology Innovative Alliance (ICMtia). Tracy’s presentation on “Materials Challenges and Opportunities for Emerging Device Applications” covered the updated TECHCET materials outlook and discussed trends in device scaling which provide opportunities for material suppliers.

“Advanced technology chip fabs are driving higher than originally expected growth of traditional wet chemicals for cleaning because of two technology shifts,” explained Tracy. “First there is increased adaption of single-wafer spray tools that use more chemistry than conventional batch tools, and second there are more multi-step wet clean processes needed for surface treatment and residue removal. Consequently, TECHCET is forecasting greater than 5% CAGR over the period 2018-2023 for wet chemicals.”

Dynamics of localization within the global supply-chain will be discussed at the 4th annual public CMC Seminar, happening October 17 in Taoyuan, Taiwan. Attendees will gain insights on challenges associated with supplying quality materials, local government initiatives, and globalization trends which support the rapidly growing supply-chain within Greater China and Asia at large. Attendees have the opportunity to network with CMC Fab members who will have just finished their private face-to-face meetings held earlier that week.

Critical Materials Reports and market briefings: TECHCET Reports
CMC Seminar: CMC Seminar 2019


TNews2

4th CMC Seminar Focus on Materials Quality – Quality Excursions Can Cost Fabs Hundreds of Millions of Dollars

The Critical Materials Council (CMC), a unit of TECHCET, announces that their 4th annual public CMC Seminar will be focused on quality excursions and the global materials value-chain. Fabs such as local Taiwanese powerhouse TSMC have suffered millions of dollars in lost product revenues due to quality excursions in materials from global materials suppliers. The CMC Seminar is one of the best forums to learn about these challenges and opportunities related to evolving electronic materials supply-chains.
The CMC Seminar explores the dynamics of local materials supply-chains within global markets. Along with a panel discussion between invited CMC fabs and material suppliers and networking opportunities, there will be timely presentations on the following topics:
• Keynote on “Navigating Global and Local Semiconductor Materials Markets and Supply-Chains,” presented by Mr. KC Hsu, Vice President of Micron Memory Taiwan,
• “Bulk Specialty Gas Supply: Debottlenecking the Electronics Material Supply Chain From Material Production to Fab Consumption,” by Dr. Hok Tsan Lam, Head of Business Development for
Linde Electronics,
• “Solving Challenges in Vapor Concentration Delivery,” by Mr. Ray Morgan, Director of Veeco,
• “Prevention & Control of Particles & Heavy Metal Contamination in Fabs,” by Dr. David Huang, Vice President of Pall Filters,
• “Global Materials Markets and Forecasts,” by Dr. Dan Tracy, Sr. Analyst of TECHCET, and
• “Challenges of Global Supply Chains,” by Ms. Jenny Tan, Sr. Manager for Global Procurement of Micron.
Attendees will have opportunities to network with CMC Fab members who will have just finished their private face-to-face meetings held earlier that week.

Who Should Attend?
I. Semiconductor device fabricators,
II. Materials and equipment suppliers, and
III. Financial analysts, with an interest in semiconductor process materials markets.

For more information and to register for the event: CMC Seminar 2019

CMC Fab members include:


TNews3

Micron VP Keynote for the 4th CMC Seminar

Event in Taiwan 17 October will feature a keynote from Micron Technologies

The Critical Materials Council (CMC) of semiconductor fabricators is pleased to announce that the keynote presentation for the 2019 CMC Seminar will be “Navigating Global and Local Semiconductor Materials Markets and Supply-Chains,” presented by Mr. KC Hsu, Vice President of Micron Memory Taiwan. The public CMC Seminar will be held on October 17th at the Fullon Hotel A8 in Taoyuan, Taiwan. Attendees have the opportunity to network with CMC Fab members who will have just finished their private face-to-face meetings held earlier that week.

The CMC Seminar focuses on the dynamics of local materials supply-chains within global markets. Global fabs and suppliers are increasingly challenged in managing materials requirements, quality control, and logistics issues across many regions. The CMC Seminar is one of the best forums to get information on the rapidly growing electronic materials supply-chain within Greater China and Asia at large.

Who Should Attend?
I. Semiconductor device fabricators,
II. Materials and equipment suppliers, and
III. Financial analysts, with an interest in semiconductor process materials markets.

For more information and to register for the event: Please Click here


August 06, 2019

Quartz Revenues a Positive Sign Amidst Equipment Sales Declines

San Diego, CA, August 06, 2019: TECHCET—the advisory services firm providing electronic materials information—announced that the global market for quartz equipment consumables used in semiconductor manufacturing is forecasted to drop only -3% this year to US$1.26 billion for fabricated parts and $425M for base materials. These moderate decline estimates are attributed to current long lead-times and lean parts inventories; both positive signs amidst the negative growth (<-15%) of the OEM equipment market expected for 2019. Despite this year’s forecast, the 5-year compound annual growth rate (CAGR) for both base materials and fabricated parts is estimated to be approximately 5% over the period 2018-2023, as detailed in the latest Critical Materials Report™ (CMR) on Quartz Materials (see Figure)

TECHCET_QUARTZ_Graph

“A major 2019 theme in the quartz industry serving semiconductor manufacturers is consolidation through mergers and acquisitions,” explained Kuang-Han Ke, TECHCET senior technology analyst and author of the report. “Companies in this sector seek to become more vertically integrated up and down the supply-chain, with fabricators and base materials suppliers merging, and powder suppliers facing internal restructuring.”

Supply of 300mm quartz tubes for diffusion furnaces is limited in 2019 by the need for experienced workers, which faces a lag in development. Supply of machined parts can be increased more easily due to less need for skilled labor. Some portions of the market, especially DRAM and NAND memory fabs, will be affected more than others in 2019.

This report covers the following suppliers: Applied Ceramics, Beijing Kaide, DS Techno, Donghai Baosheng Quartz Products Co., Ferro Tec, GM Associates, Hangzhou Dahe Thermo-magnetics Co., Hanntek, HanYung, Hayward Quartz Technology, Hongwei Quartz, Hsin Yui Technology Co., Heraeus Quarzglas, Hubei Feilihua Quartz Glass Co., Huzhou DongKe, Japan Super Quartz, Jiangsu Pacific Quartz Co., Jinzhou East Quartz, Jinzhou New Century Quartz, Kumkang Quartz (KKQ), Maruwa Quartz, Momentive Performance Materials, Quartz(GE), Quality Quartz Engineering, Quick Gem Optoelectronic S & T Co., Shanghai Qianghua Quartz Co., Polar Quartz, QSIL, Russian Quartz, Shin-Etsu Quartz/Heraeus-Shin-Etsu Quartz Products (HSQP), SungRim, Taicang Huaan Quartz Glass Product Co., Techno Quartz, Tosoh Quartz, The QUARTZ Corp., Topco / Heraeus in Taiwan, Unimin, Wonik QnC, Worldex/West Coast Quartz, XingHu Shanghai, Xycarb Ceramics, Young Shin.

Purchase Quartz Reports Here: TECHCET Reports


July 22, 2019

Litho Materials Market Growing to $3.2B in 2019 Despite Trade Wars

San Diego, CA, July 22, 2019: TECHCET—the advisory services firm providing electronic materials information— announced that the global market for lithography materials in semiconductor manufacturing is forecasted to grow to US$3.2 billion in 2019 despite ongoing trade wars. As of July 4, Japan imposed export restrictions on three key materials purchased by S. Korea, including advanced photoresists, materials critical for semiconductor manufacturing. Trade tensions between the two countries are causing ripples in the supply-chain as companies try to mitigate any possible availability issues. At present, the latest Critical Materials Report™ (CMR) on Photoresists, Extensions, and Ancillary Materials, shows 2019 global sub-market sizes of US$1.7 billion, US$0.9 billion, and US$580 million (respectively). Despite these trade issues, TECHCET sees steadily increasing demand for litho materials to reach approximately US$4B in 2023 (see Figure).

TECHCET-forecast-Litho

TECHCET sees steadily increasing demand for lithography materials

“EUV lithography is first being used by Samsung Electronics to reduce turn-around-time and improve yield in their 7nm-node logic line in South Korea, while the designs allow for multiple exposures of 193nm DUV lithography to produce the same chips in more time,” explained Ed Korczynski, TECHCET senior technology analyst and author of the report. “However, qualifying a new IC lithography material for high-volume manufacturing typically takes many months and millions of dollars in test wafers and metrology, so the recent export restrictions by Japan will definitely hurt the bottom lines of South Korean fabs.”

DUV and EUV photoresists are highly engineered chemical blends which cannot be easily replicated, so it is unlikely that South Korean chemical companies can establish local production by 2020. TECHCET estimates that the Top-6 photoresist suppliers represent >85% of the global market, and of those only DuPont is not a Japanese company. Consequently, the action by the Japanese government to restrict Japanese photoresist sales to South Korea represents a gift of market share to DuPont.

This report covers the following suppliers: Avantor, BASF, Brewer Science, Dongjin Semichem, Dongwu Fine-Chem, DuPont (formerly Dow), Eastman Chemical, FujiFilm, JSR, Kempur, KMG (Cabot Microelectronics), Merck/EMD, Moses Lake Industries, Nissan Chemical, PhiChem, SACHEM, Shin-Etsu, Sumitomo, Suntific, Tama Chemical, Tokyo Ohka Kogyo, and Versum.

Purchase Photoresists Reports Here: TECHCET Reports


June 19, 2019

CMP Materials Market Reaches $2.7B Amid Signs of Orders Slowing in 2019

San Diego, CA, June 19, 2019: TECHCET—the advisory services firm providing electronic materials information— announced that the global market for chemical-mechanical planarization (CMP) consumable materials in semiconductor manufacturing is forecasted to grow to US$2.7 billion in 2019. However, several suppliers report softening orders for the first half of 2019 due to shifting wafer starts combined with intensified industry efforts to save slurry costs through increasing dilution. There is also substantial uncertainty in the slurry supply-chain due to China’s dominance of ceria refining. The latest quarterly update to the Critical Materials Report™ (CMR) on CMP Slurry, Pads, and Conditioners, shows global sub-market sizes of US$1.4 billion, US$1.0 billion, and US$300 million (respectively).

“Colloidal ceria nano-particles have long been established as the preferred abrasive for CMP slurries for shallow trench isolation and other specialty planarization processes in device manufacturing,” explained Dr. Diane Scott, TECHCET senior analyst and coauthor of the report along with Dr. Rob Rhoades. “However, recent threats to the ceria supply-chain from China are leading many fabs to begin qualifying alternative slurries to reduce the risks of either dramatic cost increases or production disruptions.”

Increased use of 3D structures in leading edge digital ICs leads to the need for more CMP process steps in their fabrication. Advanced process integrations are also driving increased customization of consumable materials to achieve the necessary planarization at each level. Consequently, the market growth for CMP consumables should outpace the market growth for silicon wafers in IC fabs.

This report covers 58 supplier profiles: 3M, Ace Nanochem, Adcon Lab, Anii Microelectronics, Asahi Glass, BASF, Bailkowski/PSB, Cabot, Dongjin SemiChem, DowDupont, Eka Chemical/Akzo Nobel, Eikem, Eminess Technologies, Evonik Industries,Ehwa, Ferro, FujiFilm, Fujimi, Fuso Chemical, General Engineering and Research, W.R.Grace, Hitachi Chemical, Innovative Organics, Intersurface Dynamics, JGC C&C, JSR, KC Tech, Kemesys, Kinik, Merck (incl. former AZ Chemical), Mitsui Mining & Smelting, Morgan Advanced Ceramics, Nalco, Nano Phase Technologies, Nissan Chemical Industries, Nitta-Haas, Precision Colloids, Rhodia (Solvay), Samsung (Cheil Industries), Showa Denko, Sibond, Saint-Gobain, Soulbrain, UK Abrasives, U Wiz Technology, Universal Photonics, Versum, and Wacker Chemie.

Purchase CMP Reports Here: TECHCET Reports


May 7, 2019

4th CMC Conference Enabled Critical Information and Connections

Event in Albany area 25-26 April featured GlobalFoundries keynote and presentations

May 7, 2019 – San Diego, CATechcet Forecast Over 150 leading executives and managers within the semiconductor manufacturing ecosystem gathered on April 25th and 26th in the Albany area of New York state for an important event on fabrication (fab) materials. The fourth-annual Critical Materials Council (CMC) Conference, produced by TECHCET, included topical presentations, a fab tour, exhibits by specialty materials suppliers, and networking roundtable discussions to learn about best-practices in a pre-competitive environment. Folks who missed attending the event this year can register to access the posted presentations for a nominal fee at our CMC Fabs Website. Please click here to get more info.

The event opened again, as in each of the prior three years, on an extremely strong business and technology keynote address by an executive from one of the CMC Fab member companies. The 2019 CMC Conference keynote was given by Dr. John Pellerin, Deputy CTO and VP of Worldwide R&D, GLOBALFOUNDRIES. Pellerin talked about how demand for new high-volume manufacturing (HVM) semiconductor devices over the next few years will drive needs for increased numbers of new specialty materials as well as volumes of existing materials in his presentation on “Materials Challenges & Opportunities in Differentiated Technologies.”

In the first session of the event covering global supply-chain issues of economics and regulations, G. Dan Hutcheson, CEO of VLSI Research, presented on “Slowdown: When did it start? What drove it? And When will the recovery come?” Hutcheson showed data from leading economic indicators that the recent decline in global semiconductor fab industry revenues due to memory chip prices may have already turned around.

TECHCET Sr. Analysts Dr. Jonas Sundqvist and Terry Francis presented updated information on demand drivers and forecasts for ALD/CVD precursors and Rare Earths, respectively. Sundqvist–also leader of the Thin Film Technologies Group at Fraunhofer IKTS–focused on how new 3D memory and logic chips demand more deposition precursors such that chemical volume growth will outpace that of silicon wafers, shown in the Figure. Francis showed how “Rare Earth” elements are not so rare at the elemental level, but complex dynamics between mining and refining and capitalism have led to a situation where mainland China currently controls most of the market for elements such as lanthanum (used in advanced ICs to create CMOS logic gates). Deep dives into all such materials matters are found in the TECHCET Critical Materials Reports (CMR), and you can find all of them online at TECHCET Shop.

The 2020 spring CMC Conference is scheduled for April 24-25 in Austin, Texas. Austin is home to CMC Fab members Cypress and Samsung Austin Semiconductor (SAS). The CMC Fab members and Associate members will again gather for two days of private face-to-face meetings before attending the public CMC Conference. In addition to the annual spring CMC Conference in the US, there is also an annual fall CMC Seminar in Asia. The 2019 CMC Seminar will be held on October 17 in Taoyuan, Taiwan. For more information on CMC events see TECHCET Events


April 3, 2019

China Fab Materials Trade Facing Uncertainty

Tariffs and Supply-Chains discussed at SEMICON China

San Diego, CA, April 3, 2019: TECHCET—the electronic materials advisory services firm providing business and technology information— announced that uncertainties in global trade have created both rising and falling fortunes for China’s semiconductor fabrication materials industry. China’s exports are slowing compared to 4Q2018, especially for companies supplying to Japan, Korea, and Taiwan. However, material companies supplying into Europe have seen healthy bookings this quarter. In addition, companies providing materials consumed in power devices report that business remains positive. TECHCET forecasts growth of ~3 percent this year in the global market for semiconductor manufacturing materials to reach a total of just over US$50 billion.

Dan Tracy

Dr. Dan P. Tracy, Director of Market Research & Sr. Analyst of TECHCET, recently provided an update on the global semiconductor manufacturing materials market at the 2019 SEMICON China conference. He discussed the materials driving steady demand increases at 4.3 percent compound annual growth rate (CAGR) through the year 2023, as shown in The Figure. There is an expectation for business to improve in general in 2H2019 as supply:demand returns to balance in the current memory-cycle.

SEMICON China is now the largest semiconductor equipment and materials trade show in the world. The show was packed overflowing into multiple tent areas because there was not enough room in the regular expo halls. Equipment and materials companies from all over the world were represented, with a strong showing of Chinese suppliers.

Local environmental regulations on mining and refining continue to be enforced with more stringent curtailment of those with no government affiliation. Larger companies with more capital to invest in regulation compliance are still in operation. Chinese companies well positioned to reap the benefits of vertically integrated supply-chain include 718/Peric as the largest ammonia and specialty-gas maker with direct investment in fluorspar mines, and Xingfa Group the largest phosphoric acid maker whose majority owner also owns phosphor rock mines. Dynamics of the global supply-chain will be discussed at the 4th annual CMC Conference, happening April 25-26 in Saratoga Springs, NY. Details of fab materials forecasts are found in TECHCET’s extensive Critical Materials Reports™.

Critical Materials Reports™ and market briefings: Please click here
CMC Conference: Please click here

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the SEMATECH Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business unit of TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org


Critical Materials Business and Technology Information 2019

4th Annual Critical Materials Council (CMC) Conference April 25-26 in Saratoga Springs, New York. – A must-attend for business and technology professionals concerned with global supply-chains for semiconductor manufacturing.

CMC Conference 2019

2019 Critical Materials Council(CMC) Conference Sponsors

TECHCET will host the 4th annual Critical Materials Council (CMC) Conference April 25-26 at the Saratoga Hotel and Casino in Saratoga Springs, New York. At the CMC Conference, business visionaries and manufacturing technologists gather to address current and future maaterials challenges. The latest forecasts on market drivers including trade issues, along with technical issues facing the global materials supply-chain, will be shown.

The public CMC Conference follows private CMC face-to-face meetings to be held April 23-24, 2019 at GlobalFoundries in Malta, New York. Themes are centered around the needs of the Critical Materials Council and the global IC fabrication industry. While executive conferences typically focus on the “what” and “why” of materials technologies, this conference will discuss “how” new materials can be controllably, safely, and cost-effectively used in fabs.

The CMC Conference this year will feature a keynote presentation on “International Supply-Chain Management Challenges,” by Dr. John Pellerin, Deputy CTO and VP of Worldwide R&D of GlobalFoundries.

Other featured presentations include:
• Tim Yeakley, ESH Policy Manager, Texas Instruments, on “Global Materials Regulation Issues,”
• Dr. Karl Robinson, Director of Process Engineering, IMEC, on “Materials Engineering in CMOS and Beyond-CMOS Applications,”
• Dr. Bryan Rice, VP of Product Integration, Inpria Corp., on “EUV Photolithography Materials Integration,”
• G. Dan Hutcheson, CEO, VLSI Research, on “Slowdown: When did it start? What drove it? and When will the recovery come?”
• Gus Richard, Managing Director, Northland Securities, on “Macroeconomics & Drivers Influencing the Electronics Industry.”

Attendees from fabs, OEMs, and materials suppliers alike will have the opportunity to interact with the presenters and colleagues, to gain insights into best-practices of the entire supply-chain.

Register now at the early-bird rate of just $395: https://cmcfabs.org/cmc-events/


January 9, 2019

Semiconductor Materials Market will be +3% to $50.4B in 2019
TECHCET’s Forecast Remains Strong Despite Trade Wars

San Diego, CA, January 9, 2019:Techcet Forecast
TECHCET—the electronic materials advisory services firm providing business and technology information— announced that global revenues for semiconductor manufacturing and packaging materials are expected to grow 3.1% year-over-year (YoY) in 2019 to US$50.4B, of which 58% represents semiconductor fab materials. Steadily increasing demand for memory chips in 2018 lifted total materials market revenues to US$48.9B in 2018, while the compound annual growth rate (CAGR) through 2023 is forecast at 4.3% as detailed in the latest TECHCET Critical Materials Reports (CMR) and shown in the attached figure.
Techcet CEO's Quote
Global trade issues in 2018 run the risk of devolving into real trade wars, if governments and companies do not negotiate business terms from a place of mutual respect. “Wars can only have winners and losers,” reminded Lita Shon-Roy, TECHCET President and CEO. “While issues can have win-win resolutions after mutually respectful negotiations.”

At the 2018 Critical Materials Council (CMC) Seminar, held last October in Ningbo, China in coordination with China’s IC Materials Technology Innovation Alliance (ICMtia), representatives of global chip-makers including Intel, GlobalFoundries, and Texas Instruments discussed ways to ensure electronic materials supply-chain robustness in an era of short-sighted protectionist tariffs. All three companies have high-volume manufacturing (HVM) fabs in mainland China along with the US and all need to source a wide range of specialty materials from global suppliers.

During private face-to-face meetings between CMC fab members in Ningbo, held just after the public CMC Seminar, companies shared that they have plans prepared to deal with tariffs goings into effect at different levels. Established HVM chip fabs must keep sourcing specialty materials regardless of political whims because our modern world relies on a steady supply of semiconductor devices to maintain our communications, entertainment, health-care, and transportation infrastructures.

Critical Materials Reports™ and Market Briefings on: Techcet Shop

 For additional information about these reports or CMC Fabs membership please contact Diane Scott at [email protected]  +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.