2022 – TECHCET News



December 13, 2022

2022 Semiconductor Materials Market Concludes as Another Solid Year Amid Rising Economic Challenges

San Diego, CA, December 13, 2022:  TECHCET—the advisory firm providing information on the electronics and semiconductor materials market supply-chain — announced that the Total Semiconductor Materials market in 2022 is expected to top US$66 billion after strong semiconductor demand through the first three quarters of the year. The growth represents almost 8% revenue growth versus 2021, with material segments such as CMP Pads, Specialty Gases, Precursor Materials, and SOI Wafers growing at double-digits year-over-year.

The 2023 outlook has become increasingly uncertain in recent months given global economic challenges, alongside concerns with high energy costs and inflation that will impact consumer spending. TECHCET is anticipating a decline in 2023 semiconductor revenues, with the decline currently forecasted to be steeper for Memory devices.

TECHCET is forecasting a relatively flat 2023 for materials revenues, although volumes may have slightly negative growth. “We see a decline of at least 1.5% in wafer starts for 2023,” states Lita Shon-Roy, MS/MBA, TECHCET President. “Any decline in memory wafer starts will dampen the market for some precursors, specialty gases, cleaning chemistries, and other advanced materials for capacitors and multi-layer structures.”

Advanced logic devices, below 10 nm, will be the leading volume drivers of materials for 2023, forecasted to grow > 5%. “The wildcard will be the economy,” Lita added. “Should we fall into a recession, wafer starts will likely decline further. However, given CHIPS Act funding expected to be infused into the market in 2H2023, growth should return by third quarter of next year.”

For more information on the 2023 Semiconductor Materials Market Forecast, please reach out to TECHCET at [email protected]. Or browse our published Critical Material Reports™ on materials like ALD/CVD Precursors, CMP, Gases, Silicon Wafers, Wet Chemicals, and more at https://techcet.com/product-category/critical-materials-reports/.

ABOUT TECHCET: TECHCET CA LLC is an advisory research firm focused on analyzing the electronics materials supply chains for the global semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, supply chain analysis reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


November 30, 2022

CVD Deposition, Metal Plating and Sputter Target Materials Looking Strong Despite Threat of Market Slowdown

Advanced packaging and interconnect layers driving growth through ‘26

San Diego, CA, November 30, 2022:  TECHCET—the advisory firm providing information on the electronics and semiconductor materials market supply-chain — announced that total deposition material revenues, including Sputtering Targets, ALD/CVD Precursors, and Metal Chemicals, will approach US$3.9 billion in 2022 and over US$4.1 billion in 2023. Growth drivers include increased use of advanced packaging with redistribution layers and copper pillar structures, and increases in interconnect layers in next generation advanced logic device nodes, soon to be followed with buried power rails and backside Cu wiring. Although TECHCET expects to see a slight slowing in the market for 2023, the overall market will see strong growth through 2026. Metal Plating alone represents >US$1.1B, expected to exceed US1.3B by 2026, as shown below.

“Longer term, demand will be driven by interest in logic, NAND and DRAM devices, and new metallization and device scheme. Multiple processing layers associated with these advanced node logic devices and 3D memory will also heighten metallization and dielectric material demand,” states Dan Tracy, Ph.D., Senior Director at TECHCET. The Total Deposition Materials market will reach US$4.7 billion or more by 2026, a 6% CAGR.

For precursors, high growth is expected for Hafnium, Cobalt, and Tungsten precursors. As the DRAM sector gears up for the transition to 3D DRAM, it will resemble the 3D NAND ramp in being a growth driver for PECVD, ALD and Etch. GAA-FETs will increase opportunities for Epitaxial Si/SiGe multi-stacks. For metal chemicals, the largest growth forecasted is from copper plating chemicals used for device level Interconnect wiring. In 2022, the copper plating market segment for advanced packaging will total US$75 million while that for copper interconnects will be approximately US$643 million. The 5-year CAGR for copper plating application is 6.1% for Advanced Packaging and 5.2% for Device Interconnects.

In the Sputtering Target segment, alternative interconnect metallization for 7nm and below, with increased use of non-Sputtering, will have potential applications using PVD metallization of Ruthenium and Molybdenum. Sputtered Molybdenum thin films can be used as a conductor metal in logic or memory interconnects and potentially for MoS2 nanosheets.

3D integration techniques have been proposed to implement a back-side power distribution Network. This network delivers power to buried power rails by Through Silicon Vias (TSV) from the wafer backside. These TSVs and backside metallization are formed by a combination of electroplating and sputtering.

For more details see, https://techcet.com/product/sputter-targets/ for sputtering targets, or for details on the ALD/CVD market segments visit https://techcet.com/product-category/ald-cvd-precursors/. For more details on the Metal Chemicals market segments and growth visit: https://techcet.com/product/metal-chemicals-for-fe-advanced-packaging/.

ABOUT TECHCET: TECHCET CA LLC is an advisory research firm focused on analyzing the electronics materials supply chains for the global semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, supply chain analysis reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


November 16, 2022

US Semiconductor Wet Chemicals Supply-Chain Restructuring to accommodate Chip Expansions

Supply-demand gaps will exist unless more domestic production is developed

San Diego, CA, November 16, 2022:  TECHCET—the advisory firm providing electronics and semiconductor materials market supply-chain information—forecasts that the US Basic Wet Chemicals demand will exceed 210 K metric tons in 2022, as highlighted in TECHCET’S most recent study on the US Chip Expansion Impact on the Wet Chemical Supply-Chain. This increase in demand is expected to run into a supply crunch as chip fabs ramp in demand by 2026, especially due to increased interest in US fab expansions, acquisitions, and joint ventures following the recent passage of the US CHIPS Act. While chemical suppliers have been announcing their commitment to support these expansions, it is still unclear whether it will be enough to meet the growing demand. Announcements for new chemical facilities have been announced by Kanto / Chemtrade, ChanChung, Sunlit, and MGC, to name a few. Estimates on volume demand from chip expansions is provided below:

Growth in Chemical Demand Expected to Support US Chip Expansions
Note: several of the chip fabs that are included in these estimates will not be fully ramped until 2028. Therefore, chemical demand will grow even larger than what is stated above.

“The expansion announcements by material suppliers show positive domestic support for new H2SO4 and H2O2 capacity, while UHP HF and IPA may continue to be supported with imported material until volumes and pricing can justify full plant investments,” states Lita Shon-Roy, President and CEO of TECHCET. As a result, TECHCET expects to see continued supply constraints in these areas until container and shipping availability stabilizes and inventory management adjusts to the new volumes.

For NH4OH, 45% more volume will be required by 2026. This increased demand could be supported by local production, but commitments for expansions and new capability have yet to be publicly announced. For high purity chemicals like HNO3 and H3PO4, increasing local production has been a challenge as old plants have been shut down over the years without any new facilities to replace them. As a result, imports have started to increase. The small demand volumes associated with these two chemicals may make imports more attractive to suppliers, rather than building a new plant that has poor ROI and may encounter challenges associated with stringent US environmental regulations.

The risk of US recession will also invariably impact short term demand. However, given CHIPS Act funding and the persistent growing need for more semiconductor devices for modern technology, demand for consumer products is expected to continue on a steep growth curve over the next decade.

For more details on the Impact on the Wet Chemical Supply Chain from US Chip Expansions go to:
https://techcet.com/product/impact-of-chip-expansion-on-us-chemical-supply-chain-3/

ABOUT TECHCET: TECHCET CA LLC is an advisory research firm focused on analyzing the electronics materials supply chains for the global semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, supply chain analysis reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


November 8, 2022

Fab Expansions in Europe Impact Materials Supply Chain

Can European Materials Supply Keep up with Growing Demand?

San Diego, CA, November 8, 2022:  TECHCET—the advisory firm providing business and technology information for the semiconductor materials supply chains — anticipates increased strain on European chemical availability as semiconductor fabrication companies continue to expand in the region. Samsung Foundry and TSMC are two examples of companies that have recently announced their intentions to build fab expansions in Europe (following Intel’s announcement earlier this year to build in Germany). These activities highlight the need to stabilize a reliable supply of chemicals and materials to these facilities. Additionally, near-term issues of rising energy costs and lower economic activity are currently impacting Europe’s semiconductor industry, so future growth and investments in manufacturing will need to address potential chemical and materials supply issues in the region.

Image from Dragon Claws – stock.adobe.com

As noted in TECHCET’s Analysis Report on “The Impact of Chip Expansions on The Europe Wet Chemical Supply Chain”, fab expansions in Europe will require the support of additional chemical supplier investments otherwise semiconductor fabricators should prepare to look for alternative sources for key chemicals. In the report, TECHCET stated six chemicals were deemed to be at the highest risk of supply interruption, as confirmed by major Europe chip fabricators. These chemicals are hydrochloric acid (HCl liquid & gas), sulfuric acid (H2SO4), hydrofluoric acid (HF), ammonia hydroxide (NH4OH), and isopropyl alcohol (IPA).

In the past several years, Europe’s electronics wet chemicals (and gases) supply-chain has been suffering from interruptions at an increasing frequency, and they were expected to worsen for a number of reasons. For one, there has been a general lack of interest from chemical & gas suppliers to invest in upgrading older facilities for local wet chemical supply. Additionally, there have been increased dependencies on Asia / China that are plagued by logistics issues. Finally, stringent environmental regulations have made it difficult for companies to keep up with.

“Some chemical suppliers in Europe will consider investments if market conditions warrant the IT and capital support/co-investment is in place. If economically viable, a new plant, rather than an expansion of an existing plant, is advantageous in providing improved quality and higher purity chemicals needed to support advanced process nodes,” states Dan Tracy, Sr. Director at TECHCET.

TECHCET has determined the 16 nm and below process segments will undergo the strongest fab expansion growth over the next six years in Europe. For the supplier, building a new facility will better enable the manufacturing of higher-grade chemical, but the demand and volume projections need to be there to justify this type of supplier investment.

For more details on the Europe Chemical market segments, issues, and growth trajectory go to:
https://techcet.com/product/the-impact-of-chip-expansions-on-the-europe-wet-chemical-supply-chain-new/

Don’t miss Lita Shon-Roy’s talk on “Semiconductor Market Expansion Driving Materials Innovation – Materials Market Outlook and Challenge” at the SEMICON Europa Materials Innovation Session next week on November 16th in Munich, Germany. To register, visit https://www.semiconeuropa.org/about/register

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


October 25, 2022

Semiconductor Advanced Precursors Press Forward

Russia Dependencies on Supply Chain and Looming Recession show minimal impact

San Diego, CA, October 25, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— reports that the Metal and High-ĸ Atomic Layer Deposition (ALD)/Chemical Vapor Deposition (CVD) precursor market will reach US$835 million in 2022, almost a 13% growth from 2021. Market growth is expected to slow slightly in 2023 due to conditions with the global economy. However, growth will soon after accelerate through 2026 due to fab expansions in leading edge Logic and Memory, as highlighted in TECHCET’S ALD and CVD CMR™ Market Reports.

“Overall, this Precursor segment will experience a 2021 to 2026 CAGR of almost 9% as strong growth is forecasted for Hafnium, Cobalt, and Tungsten precursors. Although we see saw supply interruption of some cobalt precursors coming out of Russia, this will not impact the overall market which is expected to top US$1.1 billion by 2026,” states Lita Shon-Roy, President/ CEO of TECHCET.

Device producers in the semiconductor industry are seeking out new manufacturing solutions designed to improve both cost and performance. This will increase opportunities for CVD and especially ALD processing to deposit new materials, device structures, and features like the following:

  • Hafnium (HfO2) to continue as high-k dielectric in Logic Gate Stack with minor contribution from Lanthanum VT-doping material (La2O3)
  • Tungsten (WF6) growth is driven by 3DNAND vertical scaling
  • Potentially Molybdenum (Mo) using solid precursors to transit from R&D to HVM in the next few years; this could then capture market share from the WF6 segment
  • Cobalt precursor consumption is driven by higher growth in sub 20 nm Logic nodes than older nodes and high potential use in additional metallization layers

Future technology for improved devices center on new precursor development for transistors such as high-κ gate dielectrics, metal gate electrodes, strain/stress epi of the channel and channel materials, memory cells and high-κ capacitors, interconnect wiring, barriers, seed layers, and photolithography (with associated multi-patterning techniques).

There are numerous challenges to be addressed around continued dimensional scaling and new device features for new materials deposited by ALD. Film uniformity, deposition coverage, and integrity are all needed to meet requirements for manufacturability and yield. Area selective deposition has gained popularity in the past 5 years as the growing R&D community aims to implement this approach in future devices.

For more details on the ALD/CVD Precursor market segments and growth trajectory including profiles on suppliers like ADEKA, Air Liquide, DNF Co., Entegris, Gelest, Hansol, and more, go to:
https://techcet.com/product-category/ald-cvd-precursors/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


October 18, 2022

Ceramic Fabricated Parts Market – Positive Demand from OEMs and Fabs

Restructured Supply Chain Responding with Investment Plans

San Diego, CA, October 18, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— recently announced that the Ceramic Fabricated Parts market for semiconductor fabrication equipment consumables is expected to reach US$2.3 billion in 2022, a 15% jump from US$2.0 billion in 2021. The fabricated ceramic components market is strongly affected by the semiconductor production and demand for wafer fabrication equipment, as highlighted in TECHCET’s new Ceramics CMR™ Market Report. The segment includes alumina, aluminum nitride, SiC, and other ceramic materials (e.g., Yttria coated ceramics) used in thermal processing, etch, epitaxial, and other semiconductor process equipment.

“Market demand from existing installed equipment in semiconductor fabs and the demand for new processing equipment grew significantly as the equipment market heated back in 2020,” states Karey Holland, PhD., senior analyst at TECHCET. Since then, demand has been sustained through the first half of 2022. Recently, there have been some slight signs of weakness in the memory market, though the ceramic parts market should continue growing into 2023 as current equipment orders get delivered. In the longer term, all the planned fab expansions and new fab investments will drive >8% CAGR through the 2026 forecast period.

Demand for replacement parts is largely supported by spares sold by local suppliers. New equipment OEM sales during an upturn strongly favor parts from suppliers with exclusive agreements with OEMs. There are indications of some price increases over the past years as fabs increased consumption of consumable parts and OEM increased production, resulting in a strain on supply capacity. As such, ceramic parts are sourced from different areas of the world to maximize efficiency and minimize turn-around time. This is possible because many of the leading parts fabricators have multiple locations for manufacturing.

For parts suppliers adding manufacturing capacity, there are some challenges facing the supply chain. For one, it usually takes a year to build a new ceramic fabrication factory and half a year to source the CNC equipment. There is currently 15% price increase for CNC systems, and companies expect delays in shipping CNCs. Additionally, kilns for sintering ceramic components now have lead times of 12 to 15 months. Therefore, a typical ceramic fabrication plant expansion can take up to 2-2.5 years to plan and implement. It takes even longer planning for setting up a high purity ceramic powder production. Historical downturns have caught suppliers by surprise, making them cautious when it comes to new investments, and now part suppliers are cautious about possible changes affecting the 2023 outlook.

For more details on the Ceramic Fabricated Parts Material market segments and growth, including profiles on suppliers like ADMAP Inc, Applied Ceramics, Ariake Material Company, Asahi Glass Company, Ltd., Asuzac, and more, visit
https://techcet.com/product/ceramics/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


October 11, 2022

New Tech Ramp for Semiconductor Lithography Materials

High EUV manufacturing and increased layer counts contribute towards growth

San Diego, CA, October 11, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— reveals that Extreme Ultraviolet (EUV) applications have resulted in several photoresist technology platforms including Metal Oxide photoresists, Dry Deposited photoresist, and Multitrigger photoresists, all driven by the process technologies required in advanced chip manufacturing. Combined, these resist platforms will up the market to reach more than $200M by 2025, as highlighted in the recently released Critical Materials CMR™ Market Report on photolithography.

Metal Oxide photoresists have a high etch resistance that can eliminate additional layers required above the resist. Dry deposited photoresist offers the opportunity to eliminate liquid development and deposition, thus decreasing ancillary consumption and lowering waste requirements. Multitrigger Photoresists enable the continued use of lower exposure energy, making it useful in multiple exposure regimes while demonstrating improvements over the photoresist of record.

With EUV in high-volume manufacturing, the photoresists and related ancillary have firmly ‘arrived’ and are now officially being used at multiple chip makers. EUV photoresist will grow rapidly as new logic nodes continue to be introduced. Additionally, advanced DRAM enters production with EUV and as scanners are placed into production. In addition to photoresists, EUV is ushering in a number of changes such as scanners optics and 3D patterning techniques.

“Photolithography materials are critical in semiconductor manufacturing and are an important component in lithographic processing as semiconductor fab costs are rising at the leading-edge. Thus, materials and process innovation, as in the example of EUV, will ramp,” states Lita Shon-Roy, CEO at TECHCET.

These newer materials, are giving a boost to the lithography materials market, and are expected to grow 5X over the next 3-4 years with the advancement in fine line-width device manufacturing.
For more details on the lithography materials market segments, including supplier profiles for Avantor, BASF, Brewer Science, Chang Chun Petrochemical, Dongjin Chemical, and more, go to: Lithography Materials-NEW | TECHCET CA LLC

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


October 6, 2022

ICPT 2022 Conference Highlights

Industry experts collaborate on new and coming science for CMP

San Diego, CA, October 6, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— attended The International Conference on Planarization Technology (ICPT) last week, September 27-29, at the historic Benson hotel, in Portland, Oregon. “The conference is a perfect mix of university and industry experts coming together to understand and advance the science and process of CMP,” commented Diane Scott, Ph.D., TECHCET’s CMC Director. For the first time, this conference was a hybrid event with some 300 live attendees and close to 100 online attendees. Each afternoon, poster sessions filled the conference rooms with great scientific insight and conversations. Talks and posters encompassed innovative CMP pads designs, SiC CMP, surface modeling, brushes, particle characterization and more.

This year, ICPT gave out two awards to recognize pioneers in this industry. The ICPT Outstanding Achievement Award was given to Dr. Toshiro Doi Professor Emeritus of Kyleshu University and Saitama University for his development of the Pac-Rim conference back in 2004, which has now evolved into this ICPT. The second achievement award was presented to Dr. Duane Boning, Professor at MIT. Dr. Boning led the research into pattern density sensitivity in CMP. Dr. Robert Rhoades announced the 2023 ICPT will be held in Japan.

To see photos and get more information on the ICPT 2022 conference, go to https://www.icpt2022.org/.

For more information on the TECHCET Market Report on CMP pads and slurries, check out our website at https://techcet.com/product-category/cmp-consumables/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


October 4, 2022

CHIPS Act Benefits Rolling Out for Material Companies

Tips for making the most out of government benefits for US semiconductor growth

San Diego, CA, October 4, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— has been monitoring the status of the U.S. Chips Act rollout to help semiconductor material companies obtain legislative benefits for new expansions. The funding application process will be announced in February 2023. The fine details are still evolving and may be influenced by lobbying or world events. However, there are steps companies can begin taking in order to more easily and quickly obtain legislative benefits. For one, the government wants companies to have “ready-to-go” expansion plans so that there is a clear pathway and benefit for any monetary support. This means companies should consider having detailed plans for new facilities already laid out, including having land already pinpointed or purchased, and showing progress already made with state and local government. The Federal Register has yet to release the Funding Opportunity Announcement (FOA), but once this is out, companies should have plans in place to help facilitate the application process.

Another step that companies can take to improve their chances of obtaining governmental funding is to work with lobbyists, grant writers, attorneys, or other external experts. Companies can work with these individuals to help identify areas in the application that may need fortification to meet the Department of Commerce’s expectations. Because of the uncertainty of the official criteria to qualify for grants, having pre-established government connections and input can be helpful.

As mentioned, the official protocol and criteria for CHIPS Act support is still being worked on, so it is important to frequently check governmental websites for updates. Key websites include www.chips.gov and www.federalregister.gov, among others. It may also be helpful for companies to register their company with the U.S. government at www.sam.gov. To get a copy of TECHCET’s “CHIPS Act Check List” available for free to CMC and DSS member subscribers, or for more information on materials markets and consulting, please contact TECHCET at [email protected].

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


October 1, 2022

We Haven’t Yet Solved Our Semiconductor Dilemma

By Lita Shon-Roy, President / CEO, TECHCET and Bonnie Glick, Director, Krach Institute for Tech Diplomacy at Purdue

Passage of the bipartisan CHIPS and Science Act should alleviate semiconductor chip shortages that have increased prices and reduced supplies of cars, medical devices, and consumer electronics. But it would be premature to declare victory. Russia’s war on Ukraine has squeezed the market for rare gases like helium and neon that are vital to semiconductor production – highlighting our continued vulnerability in an unstable world.

The CHIPS and Science Act will bolster U.S. semiconductor research, development, and production, making critical investments in domestic production of the building blocks of all modern technology. But the legislation does not solve the quandary of sourcing rare earth materials and gases from China, Russia, and other geopolitical rivals. There is a vital need for more financial support for materials producers. Without it, building a semiconductor gases and chemicals plant in the United States will be prohibitively expensive for most chemical manufacturers – forcing us to continue to rely on imports from unstable regions. Additionally, the aftermath of COVID-19 will continue to increase production uncertainty and risk of logistics interruptions, putting U.S. supply-chain imports at further risk.

Figure 1. Currently, Helium is on Allocation to Chip Fabs because of Inadequate Supply;
by 2026 Helium Supply will Run 24% Short of Demand without Russia

TECHCET and the Critical Materials Council have been actively tracing supply chain origins and disruptions emanating from Russia and elsewhere. The Russia-Ukraine disruptions are especially alarming since both countries are major global sources of semiconductor-grade rare gases. To put this into perspective, TECHCET estimates that 40-50% of the world’s semiconductor grade neon comes from Ukraine. Additionally, Russian state-owned Gazprom, one of the world’s largest natural gas and helium providers, ramped up production in response to global helium supply concerns following COVID-19. This put Russia on track to produce a third of the world’s helium. However, sanctions on Russia have made it much more difficult to obtain helium from Gazprom and other material suppliers.

Furthermore, the U.S. Bureau of Land Management is scheduled this month to dispose of the Federal Helium System, which will cause severe delays in the delivery of helium located in BLM stores. As a result, the helium supply in the U.S. barely outpaces demand, which has caused higher prices and longer lead times. As shown above in Figure 1, without Russia sources, TECHCET predicts a shortage in helium of approximately 1.5 Billion cubic feet (BCF) by 2026.

China presents its own complications. Due to tariffs on Chinese exports, the ongoing effects of the pandemic on global commerce, and sporadic price spiking, the flow of materials such as chemicals and metals from China has become irregular. In the past, Chinese material companies have unexpectedly lowered their prices in order to gain market share, while increasing prices for raw/starting material as illustrated with phosphate used for phosphoric acid in Figure 2 below. This practice, sometimes characterized as dumping, often damages the profitability and viability of competitor companies, causing chipmakers to be more dependent on materials from the Chinese market. Today, China is a leading supplier of raw materials needed for chip manufacturing.

Figure 2. Unstable Chinese Pricing on Phosphate Rock and Phosphoric Acid (H3PO4)
(Phosphor rock is a mineral used to make phosphoric acid.)

Asia also dominates the market for Ultra-High Purity (UHP) wet chemicals that are used to oxidize the silicon in semiconductor chips. Currently, all of the UHP purity sulfuric acid in the United States is imported from Asia– and many of the material supply chains in Asia are dependent upon raw materials from China. For all semiconductor grade wet chemicals, TECHCET estimates that there needs to be a 37-49% increase in supply over the next four years to meet projected needs for U.S. chip expansions. Without adding capacity to produce ultra-high purity chemicals, the U.S. will have to import 97% or more of the ultra-high purity chemicals needed for chip fabricators.

All of these challenges converge on a single point: the need for more local and dispersed production of these vital components of semiconductor production. When unexpected problems hit – such as war, pandemics, and trade complications – supply chains strain and cannot successfully keep up with required demand. This is especially problematic given that chip demand is only expected to keep growing in 2024-2025 and beyond.

Fortunately, there is an alternative to our reliance on problematic foreign sources. It starts with increasing materials production expansion efforts in the U.S., which must draw on support from the CHIPS and Science Act. By taking the right steps today, we can ensure that our domestic semiconductor industry continues to power innovation and economic growth for decades to come.

Lita Shon-Roy is President/CEO of TECHCET CA LLC, an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. Bonnie Glick is the director of the Krach Institute for Tech Diplomacy at Purdue and former Chief Operating Officer and Deputy Administrator of the United States Agency for International Development.

Back to top


September 27, 2022

Electronic Wet Chemicals Price Volatility Sparks Concern

Price volatility in chemicals used for semiconductors further strains market

San Diego, CA, September 27, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— has witnessed a wide range of price volatility for multiple wet chemical and specialty cleans market segments. This in combination with the high demand across the semiconductor industry is causing concern among chip makers and suppliers alike. TECHCET’s current forecast shows a 6.7% revenue growth for the wet chemicals segment, expected to reach US 4.2 billion for 2022. However, forecasting has been a moving target as costs and prices have fluctuated unexpectedly, as highlighted in TECHCET’s latest 2022 Wet Chemicals Critical Materials Market Report™.

Isopropyl Alcohol (IPA) saw price decreases at the end of the second quarter in both North America and Europe due to decreasing costs of chemicals used in its manufacture. Acetone, a chemical used as a replacement process for generating IPA, initially had inflated prices due to rising crude oil pricing, but eventually dropped due to high inventories. Similarly, upstream propylene pricing impacted the price declines in the IPA market.

Other chemicals like Hydrochloric Acid (HCL) and Sulfuric Acid (H2SO4) faced price increases as a result of high demand and other supply chain events. For HCL, high demand from the steel industry and a high inflation rate due to other global events caused upward pressure on prices in the USA. Additionally, Olin, a primary chlor-alkali plan, faced a force majeure leading to crippled availability of inventories for HCl in North American region. In Europe, H2SO4 demand rose though crude oil prices rose and other raw materials experience price fluctuations.

“Price fluctuations are expected to continue until we come out of this uncertain period of rising inflation and energy costs,” stated Lita Shon-Roy, President / CEO of TECHCET. “In 2023, we expect to see a slowing of total electronic wet chemical revenues to 1.8% growth.” The slowdown will be the result of normal cycles of chip inventory corrections combined with uncertainties in the global economy. In the short term, inflation and rising costs of energy and raw materials adds to the threat of recession. This combined with on-going trade issues between the U.S. and China, alongside tensions in the Ukraine-Russia region can threaten the overall wet chemical industry growth. However, more than US$500 billion of investments have been announced for chip expansions to be built over the next 5 years. Approximately US$130 billion of this is planned just for the US. Given that many of these fabs are planned to begin production by mid-2024, another strong growth cycle is expected to start in 2024 and carry the industry into the next decade.

For more details on the Wet Chemicals market and growth trajectory, including supplier profiles on companies like Atotech, AUECC, Avantor, FujiFilm, Eastman, Chemtrade, Dupont, and more, go to: https://techcet.com/product/specialty-cleaning-chemicals/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


September 20, 2022

CMP Slurry Suppliers Struggle with Balancing Costs and Increased Demand

San Diego, CA, September 20, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information to chip fabricators and material suppliers — is closely tracking issues in the critical materials supply chain, including those related to CMP (chemical mechanical planarization) slurries needed for semiconductor production. The CMP slurry supply chain is experiencing strain from limited production capacity in the midst of continued strong demand from chip fabricators. Slurry suppliers are struggling to balance the demands for more product with the cost of adding capacity, especially if more infrastructure is needed. Hesitant to trust grandiose market predictions, suppliers continue to rely on short term forecasts in their capacity planning. Since the consumables shelf life varies from roughly 6 to 12 months for most products, there is a limit to the inventory that device makers can carry. Therefore, suppliers and fab customers must work closely together to manage inventories and to control costs. The latter is key as fabs seek for ways to reduce consumables costs as layer counts for logic and 3DNAND devices increase.

TECHCET forecasts the Chemical Mechanical Planarization (CMP) slurries market for semiconductors to grow almost 9% in 2022 from the previous year. The total slurry market will top US$2.0 billion for the current year and will reach US$2.6 billion by 2026, as highlighted in TECHCET’S CMP Market Report (CMR ™). The strongest growth over the forecast period will be for polysilicon, new metals (i.e., ruthenium, cobalt, molybdenum, and zirconium) and oxide (ceria).

“Overall, the copper CMP slurry market will grow over 6% CAGR through the 2026 forecast period as logic device wafer starts continuing to increase,” stated Dan Tracy, Sr. Director at TECHCET. Similarly with growing wafer starts and increased layer counts, tungsten CMP, consumed primarily in 3DNAND Flash, will also grow at over 6%. The newer metal segment is forecasted to grow at 14% CAGR as new interconnect structures ramp up in the industry. With such steep growth projections, chip fabricators hope for more investment in slurry capacity, especially in the US where chip expansions are expected to exceed US$130B over the next 5-years. Suppliers will remain cautious, seeking longer long-term agreements and subsidies for material expansions, i.e., CHIPS Act funding.

For more details on the CMP Consumables market, including dielectric/ oxide/ polysilicon slurries, and supplier profiles of BASF, Cabot, Dupont, Fujifilm, Asahi Glass, Fujimi, and more, go to: https://techcet.com/product/cmp-slurry-and-pads-only/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


September 13, 2022

Electroplating Materials – Any Slowdown in Sight?

San Diego, CA, September 13, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information to chip fabricators and material suppliers — forecasts the total 2022 IC electroplating (Metal Chemicals) revenues to grow 8.1% to reach US$1,019 million. “A key growth driver for the electroplating market includes increases in interconnect layers in next generation advanced logic device nodes,” states Karey Holland, Ph.D., TECHCET’s Chief Strategist and Sr. Analyst. This is soon to be followed with buried power rails and backside copper wiring, increased use of advanced packaging for redistribution layers and copper pillar structures, and general overall strong demand for all semiconductor devices requiring copper interconnects.

The recently published Critical Materials Market Report on Metal Chemicals CMR™ highlights advanced Logic devices at < 14 nm as key drivers of growth, and expected show a CAGR of 7.4% through 2026. While chemical vapor deposition (CVD) or atomic layer deposition (ALD) are used to deposit metal interconnects at the lower device levels, the upper layers will continue to be electroplated copper as the bulk interconnect wiring. Thus, overall growth in advanced logic and number of layers continues to push up copper plating revenues for logic devices.

Copper metal electroplating is the largest segment of the IC electroplating segment and will top US$710 million in 2022. The forecasted 2021 to 2026 Compound Annual Growth Rate (CAGR) for this segment is 8.6%.

While smaller of the two copper plating chemical segments, advanced packaging applications are soaring in terms of use. The 2021 to 2026 CAGR for advanced packaging wafer starts is approaching 10%. The fastest growing segment is Fan-Out WLP which boosts application of RDL plating. Plating chemical volume consumption is increasing; however, TECHCET expects downward price pressure on CuSO4 as emerging suppliers in Asia are hungry to get a piece of the action.

For more details on the Metal Chemicals IC Electroplating Chemicals market and growth trajectory go to: https://techcet.com/product/metal-chemicals-for-fe-advanced-packaging/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


September 8, 2022

Semiconductor Equipment Consumables – Silicon Parts, in High Demand with Continued Shift Toward Asia

San Diego, CA, September 8, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information to chip fabricators and material suppliers — reports that the demand for high-purity Silicon Parts for semiconductor manufacturing equipment will continue strong in 2022. This forecast is expected as equipment sales grow and fabs expansions continue to ramp. The Silicon Parts market is expected to top US $900 million, a 10% increase from US $824 million in 2021. TECHCET forecasts the 2021 to 2026 CAGR for this market to grow at an almost 6% rate, as shown in the graph below and described further in TECHCET’s Newly Released Critical Materials Report™ (CMR) on Silicon Equipment Components.

“Silicon Parts are primarily consumed in etch equipment systems, so market growth is heavily tied to chip production and influenced by new etch equipment sales,” states Dan Tracy, senior analyst at TECHCET. Additionally, the replacement part segment represents about 70% of the market as these consumable parts have a finite lifetime, and need to be replaced per a fab’s maintenance schedule. Given industry fab investment trends, about 66% of the new and replacement parts are for the 300 mm fab manufacturing segment.

Silicon parts development and specifications are aligned to the requirements of the Etch Equipment makers, as these OEMs influence silicon parts per tool and the design of each part. TECHCET estimates that sales from part fabricators to equipment OEMs represent approximately 50% of total silicon fabricator sales. This is due to huge equipment growth in 2021, and direct sales from fabricators to chip fabs representing approximately 50%.

A major shift in market shares from US to Asia fabricators has been happening over the past 5-10 years as can be seen from the data provided in TECHCET’s CMR. Key Silicon Part fabricators include Hana Materials, who now leads with nearly 30% share followed by Lam/Silfex, WorldEx, and Coorstek. TECHCET expects China-based silicon part suppliers to play an increasing role in the market, especially in working with equipment makers and fabs in China. These companies will develop their business to serve the global market as well.

For more details on the Silicon Parts market and growth trajectory, including supplier profiles on companies like Silfex, Worldex, Hana Materials, FerroTec, Global Wafers, Hayward Quartz Technology, and more, go to: https://techcet.com/product/silicon-equipment-components-research-report/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


August 31, 2022

Supply Tightening Expected for Specialty Electronic Gases

Demand to outpace supply for NF3 and WF6 unless alternatives come into play

San Diego, CA, August 31, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information to chip fabricators and material suppliers — reports that the supply of Specialty Gases, nitrogen trifluoride (NF3) and tungsten hexafluoride (WF6) for electronics could tighten amongst high projected demand by 2025-2026. This forecasted steep trajectory will challenge supply-chains to keep pace. However, alternatives being developed could interrupt this trend. Both NF3 and WF6 are part of a larger US$5 billion specialty gas segment forecasted to grow 30% over the next 5 years, to total US$6.5 billion by 2026. As shown below, NF3 is expected to grow even more steeply, 72% over the forecast period (as highlighted in TECHCET’s 2022 Critical Materials Report™ on Electronic Gases).

Alternatives for these gases are currently in development which could cause a shift in growth trends. The increasing demand for NF3 in electronic manufacturing, including flat-panel displays, has triggered concern among atmospheric scientists over emissions of nitrogen trifluoride, a potent greenhouse gas. Particularly, NF3 gas has a high Global Warming Potential (GWP) compared to other gases. Consequently, the electronics industry is looking at and considering processes for on-site fluorine generation that can use F2, in place of NF3, for chamber cleaning.

Demand for WF6, although increasing in conductor applications for 3DNAND production, is not ideal in its performance for advanced memory. Currently, memory makers are researching new processes which use Molybdenum (Mo) as an alternative to tungsten for the conductor in hopes of improving performance. Thus, there is a potential to replace WF6 over the next several years. If Mo deposition processes (using solid precursors) can be successfully developed, then the transition from R&D to high volume manufacturing could occur by 2025. This will then shift market share away from the WF6 segment. However, information on implementation is still uncertain since no Mo precursor product has been found in the field, and device makers have not made any official announcements concerning a change in conductor material. If Mo materials and processes can match the cost of ownership to replace W, it could grow rapidly and diminish future WF6 demand.

Among the sources of electronic gases highlighted in TECHCET’s CMR are Air Products, Air Liquide, EMD / Merck, Linde, Matheson Gas, SK Materials, Gazprom, Huate Gas, Peric, and many others. For more details on the Electronic Gases market segments and growth trajectory go to: https://techcet.com/product/gases/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


August 25, 2022

Sputtering Targets Driven by Robust Semiconductor Demand

New production expansions needed, but will not take effect until 2024

San Diego, CA, August 25, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information to chip fabricators and material suppliers — announced that the Semiconductor Sputtering Target market is expected to reach US$1.33 billion in 2022, up 7% from US$1.24 billion in 2021. Target suppliers are planning for 2023 deliveries to remain on track to meet high forecasted customer demand. TECHCET also forecasts a slight decline of -1.1% YoY for 2023, due to inventory corrections, as shown below.

As highlighted in the newly released 2022 Sputtering Targets Critical Material Report™, target suppliers indicate that the supply/demand balance for Copper/Copper Alloy targets remains very tight. While new investments have been announced to boost Copper target manufacturing capacity, these will take time to ramp as manufacturing equipment lead-times can be one-year or more. For the year of 2022, the Copper/Copper Alloy target segment is forecasted to grow 11% in revenues. Some suppliers now report the focus is on delivering forecasted target volumes to meet 2023 customer demand.

The metal supply chain appears to be stable, although metal pricing did see a surge in 2021 and into early 2022. Unfortunately, near-term recession fears have resulted in recent price declines for commodity metals. In the future, demand for many metals used for chip production is predicted to be especially strong given broader application drivers. This has created uncertainty in predicting longer-term supply and pricing for metals.

“Globally, ‘green’ economy and zero emissions initiatives have boosted the need for increasing mining production to meet targeted projections for needed electrification infrastructure,” states Dan Tracy, senior analyst at TECHCHET. For example, copper metal demand could double between now and 2035-2040, so significant investments are needed to increase Copper mining production. While copper usage in semiconductor target fabrication is negligible compared to other applications, any future supply issues will still mean higher pricing for targets.

In addition to copper, new mining activity for Cobalt, Tungsten, Silver and other materials will need to expand so industries can satisfy green economy/zero emissions objectives. New mining developments can take 15 years or more, so any supply/demand imbalance could also result in higher commodity metal pricing. As these initiatives move forward, recycle, reclaim, and reuse will be critical components of most metal supply chains – including sputtering targets.

While current metal supply is generally viewed as being stable, higher energy costs are also impacting the mining, smelting, and refining of metals in the near-term, and customers will encounter surcharges due to these factors.

For more details on critical materials used for semiconductor device manufacturing including wet chemicals, gases, wafers, CMP consumables,packaging materials, photoresist /litho materials and Sputtering Targets (including profiles on Honeywell, Grikin, Materion, KFMI, JX Nippon, and more), go to: https://techcet.com/product/sputter-targets/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


August 19, 2022

ADVISORY ALERT on CHIPS Act Featuring Washington DC Professionals Hon. Bonnie Glick and Mr. Madison Smith

San Diego, CA, August 19, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information to chip fabricators and material suppliers — just completed their 3rd Quarter CMC Advisory Alert Meeting focused on the US CHIPS Act and the future of materials supply. This virtual event of over 150 attendees, featured the Honorable Bonnie Glick, Director of the Krach Institute for Tech Diplomacy, and Madison Smith, Principal, Washington DC Communications, of Cozen O’Connor Public Strategies. These two policy professionals highlighted key points of the US CHIPS Acts and shared their opinions about what is next for funding the US semiconductor industry.

The Hon. Bonnie Glick emphasized that the CHIPS Act “… took a long time to get here, … and started over three years ago to make America, American manufacturing and American industry more competitive …” Glick spoke about how the US government wants to make the US more competitive in advanced manufacturing with tax incentives and government funding. US policy makers realized there was an urgent need to support the domestic supply chain. Now that the CHIPS act has passed and been signed into law by President Biden, the Dept of Commerce will be the lead agency in the US responsible for distributing the funds. NIST is a subgroup within the DOC that will be responsible for R&D grants.

“It is pretty hard to view the passage for the CHIPS ACT as anything but a benefit to material suppliers,” said Mr. Smith. The US government has taken a strong and unique step to grow the domestic semiconductor industry and this includes a robust supply chain. The direct effect of the US CHIPS act will allow chip fabricators and material supplier access to $39Billion in grant money over the next 5 years. The timeline expected going forward will be dependent on the Department of Commerce working with other factions of the government from which RFQ’s will be released. Timing for RFQ release is expected sometime in 4Q 2022.

For access to the meeting recording and surprising results of the poll question on “Is your company seeing signs of an industry-wide slowdown in the second half of 2022 and throughout 2023?,” CMC Members can log into the portal at www.techcet.com, and go to the “Meeting Downloads” page; others may contact TECHCET at [email protected]. The summary results between the morning session of mostly US and EU members versus the evening session with mostly Asian member may surprise you.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


August 16, 2022

Silicon & SOI Wafers – A Record Year Amidst Tightening Supply

New production expansions needed, but will not take effect until 2024

San Diego, CA, August 16, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— announced the Silicon Wafer market for semiconductor applications, including SOI wafers, will grow to US$16 billion in 2022, about 12% above the US$14.2 billion recorded in 2021. Wafer shipments are expected to reach a record, with a forecasted 6% growth to 15.1 billion square inches. Shipment growth is essentially going to “cap out” given the limitations in available capacity for increased production. Any brownfield expansion is constrained and new greenfield capacity by suppliers will not have any appreciable impact until 2024, as explained in TECHCET’s 2022 Critical Materials Report™ on Silicon Wafers.

“2022 revenue growth is stronger than shipment growth as average selling prices are higher and products with leading-edge Logic and Memory production are favored,” states Dan Tracy, Sr. Director at TECHCET. This higher wafer pricing is critical to suppliers to support announced capacity expansions. Namely, the top five wafer suppliers have all announced coming greenfield capacity expansion. These large investment projects cost US$2 billion or more, and will take 2+ years to bring production on-line, meaning any significant capacity addition will not take effect until around 2024-2025.

Until then, industry will need to get by with incremental brownfield capacity expansion. TECHCET has forecasted a slowdown next year, meaning 2023 could be an opportunity for the tight supply/demand balance in the silicon supply chain to be alleviated.

It is interesting to note that leading wafer suppliers are considering a major plant investment in the U.S. In the past, major investment prospects in the U.S. were minimal given industry trends of new fabs being primarily constructed in the Asia-Pacific region. However, with the US Chips Act finally being signed into law, supporting the US semiconductor manufacturing supply chain via new silicon wafer plants has become a more realistic possibility. GlobalWafers, for instance, announced in July that it will construct a new plant in Sherman, Texas, and the expectation is that this project will move forward with US Chips Act and other governmental support and funding.

For more details on the Silicon Wafer market growth trajectory, including information on suppliers like Aymont, Ferrotec, GlobalWafers, Eswin, and more, go to: Silicon Wafers-NEW | TECHCET CA LLC

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


August 9, 2022

US CHIPS ACT Now Approved by President Biden – What’s Next?

Can we “build back better” the US chip industry? Or is it too little too late?

San Diego, CA, August 9, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— announced that today President Biden signed the long-awaited CHIPs Act into law. So, “what’s next” for the US semiconductor industry?

Pres. Biden signs CHIPS Act, from news feed from US News 8/9/2022

The US CHIPS Act will attempt to level the playing field by encouraging device makers, and their suppliers, to invest in the US. Although US companies have been industry leaders in chip design, chip fabrication, fab equipment, and advanced materials, Asia’s investments and government incentives have reduced the US share in chip manufacturing and materials manufacturing over the past several decades. These Asian investments, in combination with lower costs and ease of regulatory rules, have expanded the customer base and the manufacturing supply of materials and equipment in Asia.

Some key fab projects in the US are already underway, others have been announced, and more are potentially forthcoming. While this is welcome news for the US industry, these fab projects, especially leading-edge Foundry and Memory fabs (like Intel, TSMC and Micron) take 2 to 3 years to construct and ramp into high volume production. In contrast, existing leading-edge Foundry and Memory production is already in place in Asia and has continued to expand year over year. As a result, global material suppliers have been focused on Asia. Over the past few years, many suppliers announced both new plants and plant expansions in key semiconductor-producing locations like Korea, Taiwan, China, and Japan. And material suppliers, such as DuPont and EMD, followed with nearby facilities to support some of the most advanced fabrication processes. As a result of all this activity in Asia, some may ask, “Is the CHIPS Act too little too late?”

Many of the chip companies would have liked to see the CHIPS Act approved last year, but “better late than never” is the theme we are hearing. A myriad of global events, from the COVID pandemic to trade issues, to the Russian war, has forced each country to look at its own vulnerabilities. Many countries, including the US, realize the importance of having resident chip manufacturing and development, but building a new semiconductor device factory is an expense most companies do not shoulder without government support. The CHIPS Act grants and tax incentives will help to fuel this effort, but new Chip facilities alone will not solve the shortage problem.

Without materials, you cannot build chips. “Semiconductor manufacturing is a critical and strategic industry for the nation’s economy and its national security, and so are materials,” stated Lita Shon-Roy, President & CEO of TECHCET. While some investments for materials production have already been announced, the materials supply chain is expected to encounter strains as the new high-volume chip production begins to ramp up in the US. Significant investments in materials production and R&D will be needed to strengthen the materials supply chain with advanced leading-edge chemicals and materials. TECHCET has previously identified a critical dependency on imported high-purity chemicals for US-based chip fabrication. This is especially true as critical dependencies continue to exist for high-purity chemicals, silicon wafers, and other materials. Any funding and support through the US CHIPS Act for chemicals and materials produced in the US will greatly strengthen supply chains and reduce the risk of shortages and critical dependencies on imports.

For more details on the Impact of US Chip Expansions on chemicals and materials go to: https://techcet.com/product/impact-of-chip-expansion-on-us-chemical-supply-chain/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


July 26, 2022

Advanced Lithography Drives Extension and Ancillary Materials

Will growth slow enough to meet PFAS environmental challenges?

San Diego, CA, July 26, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— announced an updated outlook of the Lithography Extension and Ancillary Materials market segments. These advanced chemistries, which include developers, anti-reflective coatings, edge-bead removers, and others, are forecasted to grow by over 6% in 2022, and will approach a US$2.8 Billion market in 2023. As highlighted in TECHCET’s new Lithography Materials CMR™, total market revenues of the segment will grow by >5% CAGR through 2026 as EUV and KrF lithography applications continue to ramp in the semiconductor industry. However, growing environmental concerns related to PFAS (per-and polyfluoroalkyl substances) containing chemicals used in lithography materials may pose future production limitations.

The US$1.2 B Ancillary (organic developer, edge bead remover, and prewet) market segment is experiencing changes in material sets with the implementation of EUV lithography in high volume manufacturing. For example, organic developers are ramping as the developer of choice for Negative Tone EUV resists. As additional patterning levels migrate to EUV, this will reduce both 193i DUV photoresist and aqueous developer volume consumption at the critical layers; thus, aqueous developers should eventually see a decline as organic developer consumption grows.

The transition away from 193 nm immersion (multi-patterning) will drive other changes in the fab. For example, the Negative Tone resist can be processed without edge bead removal. Also, the implementation of a Dry Processed photoresist, if widely adopted, will not require a developer as a plasma removes the resist material.

Extension materials, which are specialty materials used to address reflections and adhesion problems during photoresist processing, make up a US$1.6 B market. This market is fragmented with a couple of companies that focus specifically on Bottom and Top side Antireflective Coatings (BARC/TARC), in addition to the photoresist suppliers providing both resists and ancillaries. Silicon based BARCs layer are consumed in high-resolution applications. Another fast-growing segment will be in KrF BARC as this application gets a boost from growing layer counts in 3D NAND Flash process fabrication.

Concerns relating to PFAS containing chemicals, which include some photoresists and ARCs, have been increasing over the past several years. Because of this, many chip companies are now pressing for alternatives in hopes of eliminating their use. Many of the large resist and ancillary companies have alternative offerings, although many end-users have not had the luxury of time to identify acceptable alternatives and make the switch. Recent announcements by the EPA highlight six PFAS materials included in the Regional Screening Level and Regional Removal Management Level lists (started in 2014 and updated it in May of 2022). These include: hexafluoropropylene oxide dimer acid and its ammonium salt (HFPO-DA – sometimes referred to as GenX chemicals), perfluorooctanesulfonic acid (PFOS), perfluorooctanoic acid (PFOA), perfluorononanoic acid (PFNA), perfluorohexanesulfonic acid (PFHxS), and , PFBS or perfluorobutanesulfonic acid. In particular, PFOS and PFOA are common materials found in ARCs and resist materials.

“For the suppliers of just Ancillary and Extension materials, and not photoresists, long-term relationships with customers and photoresist partners are key for finding acceptable alternatives in the midst of demand for higher resolution technology,” states Dan Tracy, senior analyst at TECHCET. As with photoresists, co-development work between supplier and chip company is required to complete the process of introducing alternative materials into existing production lines. A balance must be found between running production full-out to meet chip demand, and stopping the line to qualify for these environmentally-friendly alternatives.

For more details on the Electronics Litho Materials market information including suppliers Avantor, Dongjin, Fujifilm, JSR, Kempur, SEH, DuPont, and others go to: Litho Materials CMR-NEW | TECHCET

For more details on EPA Actions to Address PFAS use, go to: https://www.epa.gov/pfas/epa-actions-address-pfas

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


July 18, 2022

2022 Semiconductor Photoresists – Advanced Nodes Ramp

Growth continues strong through 2026

San Diego, CA, July 18, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— announced an updated outlook for the semiconductor-related Photoresist market. Growth is expected as revenues are predicted to increase by 7.5% in 2022 to reach almost US$2.3 billion. The 2021 to 2026 CAGR for total resists is forecasted to be 5.9%, with the fastest growing products being EUV and KrF type resist materials, as stated in TECHCET’s newly released 2022 CMR™ on Litho Materials (includes information on photoresists and ancillaries).

“The Lithography materials market is healthy and growing and is expected to stay on an upwards trajectory given the advancement in device technology and increased layer counts,” stated Dan Tracy, Ph.D., Techcet’s Sr. Director of Marketing Research. In particular, EUV photoresists have firmly ‘arrived’ and are now officially being used for high volume chip manufacturing by multiple chip makers. EUV photoresist will grow rapidly as new logic nodes continue to be introduced; advanced DRAM enters production with EUV, especially as more ASML scanners are placed into production.

Photoresists used for “old tech” (I-line, G-line, and KrF) are expected to surge due to the near-term chip supply constraints. KrF resists continue to find growth, especially as 3DNAND device production and layer count grows. ArF and ArFi both use similar polymer/solvent platforms that utilize an aqueous developer (0.263N tetramethyl Ammonium hydroxide – TMAH), and their demand is driven by multi-patterning applications.

In South Korea, Taiwan, and China, onshoring of photoresist production is a recurring theme, and domestic manufacturing of these materials is growing. Near-term issues impacting the photoresist market, as with other materials, are inflation, supply chain disruptions, and geopolitical events. All of these are contributing to price increases and product availability for the current year, and are expected to continue doing so into 2023.

It is also important to note that new suppliers surfacing in places like South Korea and China may lead to dynamic adjustments within the photoresist market landscape. These new suppliers are benefitting from government and chipmaker support within their respective countries.

For more details on the Electronics Litho Materials market information including suppliers Avantor, Dongjin, Fujifilm, JSR, Kempur, SEH, DuPont, and others go to: Litho Materials CMR-NEW | TECHCET

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


July 6, 2022

2022 Semiconductor Materials Outlook

Supply chain limited by Russia / US CHIPS Act a game changer

San Diego, CA, July 6, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— is forecasting semiconductor manufacturing materials to top US$65 B for 2022, a healthy 8% above 2021. “Semiconductor demand has remained strong through the first part of the year and average selling prices for materials are trending upwards,” cited Lita Shon-Roy, TECHCET’s President/CEO. In anticipation of slower market conditions, semiconductor materials market growth is currently forecasted to increase just over 2% in 2023 before further improving in 2024. “This is in keeping with cycles in demand and inventory volumes,” said Shon-Roy, as “per the latest TECHCET Critical Materials Reports™,” shown in the figure below.

While demand remains strong in 2022, a number of issues are impacting materials supply and pricing. The Russia/Ukraine region is a major part of the oil and natural gas supply chain, of which energy, specialty gases and helium are dependent. It was a region expected to play a significant role in the supply of helium this year, in addition to supporting neon and fluorocarbon production used for semiconductor manufacturing. Due to the turmoil in this area, alongside related economic sanctions against Russia, supply of these and other key gases have been curtailed, straining supply-chains around the world. Additionally, energy costs have steeply risen creating cost escalation of materials production worldwide.

In today’s business environment, surcharges for energy, logistics, and materials are increasing in costs across many materials segments. For silicon wafers, supply is limited as current production is constrained since no new green field production is available to ramp up for this year and into 2023. Hence, prices on wafers are rising.

For other material segments, from rare gases to sputtering targets, costs and prices are also rising due to price increases in raw materials, in addition to high energy and logistics prices. All of these are further exacerbated by supply-demand imbalances. Consequently, materials’ availability is becoming a limiting factor to semiconductor unit growth. (Note: upward trending ASPs will likely drive decent revenue growth for the year.)

Demand for semiconductors though the rest of the year, should remain strong. However, TECHCET sees the above trends contributing to inflation, and worries about another recession are now looking more likely. Whether this could result in a temporary dip in demand or prolonged recession impacting overall chip demand is not yet clear.

The game-changer for the US semiconductor market will be the CHIPS Act. Once fully approved by Congress, the CHIPS Act will buoy up the market allowing for further growth. However, the question remains, “Will there be set asides in the CHIPS Act for materials production and R&D?” This will be highly dependent on US policymakers and their interpretation of what is and is not needed to strengthen US’ position in semiconductor technology and manufacturing. Currently, a growing number of material companies are individually communicating to policymakers about the importance of CHIPS Act funding for US materials producers. The key to their message is that the cost of building a semiconductor gases and chemicals plant in the US is prohibitive. These materials are highly specialized and more costly to produce than industrial gases and chemicals. And the clincher is that the volume demands are 10X to >100X lower than industrial applications. Hence, the ROI on investing in a new US chemical production facility for semiconductor materials is so poor that many chemical companies will be unable to justify building it without subsidy. We hope through the continued efforts of individual material suppliers, TECHCET’s information on materials submitted to the US RFI, and SEMI’s work on the CHIPS Act, that the US Congress will indeed set aside monies in the CHIPS Act for building and expanding US materials production.

For more information, see TECHCET at SEMICON WEST, July 12-14, at the New York State Economic Development booth, 2051. Or go to TECHCET’s Critical Materials Reports™ and Market Briefings: https://techcet.com/product-category/critical-materials-reports/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


June 27, 2022

ALD/CVD Precursor Markets – Burgeoning Applications

Advanced Logic and Memory Applications require more deposition materials.

San Diego, CA, June 27, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— reports that the Total ALD/CVD precursor market grew 21% in 2021, reaching US$1.39 billion and is forecasted to grow 12% in 2022. The 2022 Precursor market will top US$1.56 billion due to strong industry growth overall, driven by higher production volumes of < 7nm logic devices and higher increased stacking and layers in 3DNAND devices. The transition to EUV lithography for DRAM fabrication will also result in opportunities for increased precursor revenues. More details on these market trends will be revelaed in TECHCET’s presentation given at the 2022 ALD Conference, starting this week in Ghent, Belgium, by Jonas Sundqvist, Ph.D., or can be found in TECHCET’s newly released Critical Materials Reports™ on ALD/CVD Metal Precursors and Dielectric Precursors.

“ALD and CVD are a materials and chemistry rich industry segment with major development efforts in place, with strong prospects for growth, and for the need of new materials”, states Jonas Sundqvist, Sr. Technology Analyst at TECHCET. “New manufacturing solutions designed to meet both cost and performance will rely on ALD precursor materials.”

New materials and related process technologies are being driven by changes in device design. For advanced logic, new precursors are required for transistors to form high-κ gate dielectrics, metal gate electrodes, strain/stress epi of the channel and channel materials. DRAM memory cells continue pushing for higher-κ capacitors. And advanced devices, especially logic, demand improved interconnect wiring, barriers, seed layers, selective via capping and encapsulation, insulators, as well as new and/or more dielectrics to support EUV and advanced ArFi photolithography.

Emerging challenges persist as a result of continued dimensional scaling addressed with materials, especially new materials deposited by ALD. Area selective deposition has been a trend in the past 5 years with a growing R&D community to implement this approach in future devices.

For device specific details on the ALD/CVD Precursor markets & segments get TECHCET’s newly released Critical Materials Report™ here: https://techcet.com/product-category/ald-cvd-precursors/

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


June 21, 2022

Specialty Cleans Markets – Advanced Nodes Drive Growth

In the midst of mounting technical challenges for wet chemical suppliers

San Diego, CA, June 21, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— announced a large growth forecast for Specialty (Formulated) Clean Chemistries as part of the overall Wet Chemicals outlook through 2026. In 2021, the Specialty Cleans market topped US$1 billion, and it is forecasted to reach US$1.1 billion in 2022. Through the forecasted period, this market will grow at a 6% CAGR, primarily due to increases in process layer, and advanced technology nodes often having multiple cleaning processes per mask step, as highlighted in TECHCET’S newest Wet Chemicals and Specialty Cleans Critical Materials Report™.

Specialty cleans consist of Post Etch Residue Removals (PERR) and post-PCMP (pCMP) cleans, which increasingly require new and advanced formulations to keep pace with new materials, high layer counts, and tighter specifications for particle size. PERR usage has increased with each progressive logic node, while pCMP cleaning requires a chemical solution which can remove residual particles of both slurry and removed material layers.

“Capital investments by the suppliers in the technology are critical to keep pace with leading-edge device process technologies”, states Terry Francis, Director of Technology & Sr. Analyst of TECHCET. “Suppliers that are not investing in advanced formulations are at risk of losing market share in this market.”

Volumes of PERR dramatically increase for advanced Logic and 3DNAND device production. This is driven by the increased number of mask steps, the increased layers in 3DNAND, and the number of Etch-Trim steps. Extreme Ultraviolet Lithography (EUVL) is helpful in leveling the number of mask steps and changing the PERR Chemistry, but it is currently at a low volume of wafer starts across the industry.

PERR is a very application specific space that requires cleans formulated specifically for the process. This is mainly because of the number of sensitivities to the chemicals along with galvanic corrosion issues. Because of this, this market requires both past knowledge of PERR cleaning, alongside adjustments of formulations per device level and surfaces exposed.

pCMP Cleans will also continue to be played as custom formulations and added value area protect suppliers’ margins and market position. Cleaning solutions must not damage remaining and exposed wafer layers, including dielectric films and copper.

Francis also noted, “because of these technical challenges, the Specialty Cleans market is not easy to enter for a supplier without a close partnership with the device maker.”

For more details on the Wet Chemicals & Specialty Cleans market segments, or for TECHCET consulting services on specialty cleans go to: https://techcet.com/product/specialty-cleaning-chemicals/.

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


June 15, 2022

Electronic Gases Markets – To Approach a US$9 Billion Market in ‘22

New materials and increasing chip design complexity drives supply-chain problems for Specialty Gases, Rare Gases and Helium

San Diego, CA, June 15, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— reports that the Electronic Gases market revenues hit US$6.3 billion in 2021 and is forecasted to grow to 8% in 2022. In its recently completed Electronic Gases Report , TECHCET forecasts the 2022 Electronic Gases market will reach almost US$6.8 billion—growth primarily attributed to Specialty Gases. As leading-logic and new generations of memory continue to ramp, Specialty Gases consumed in etching, deposition, chamber cleaning, and other applications remain in strong demand. This segment is forecasted to increase by 10% in 2022 with ~9% CAGR through to 2026, as indicated in TECHCET’s newly released 2022 Critical Materials Report™ on Electronic Gases, authored by Jonas Sundqvist, PhD.

In the near-term, there are supply issues for key industry gases, such as helium and neon; and in the longer term, the supply/demand balance for gases such as nitrogen trifluoride (NF3), tungsten hexafluoride (WF6), and others could tighten as industry demand grows.

Neon supply capacity is at risk due to the Russian invasion of Ukraine. Some gas supply from these sources may be at a permanent loss. Helium supply-chain disruptions stemming from lack of Russian supply availability are starting to have an impact on the semiconductor industry. Russia’s current export ban on helium and rare gases will prolong the supply-chain issues with Helium and rare gases like Neon. The war is only part of the helium supply issue – maintenance problems, delayed product availability, and production disruptions in other helium producing regions are all adding to the tightness in the supply chain.

As new semiconductor device fabs come online globally over the next several years, supply constraints may appear for other gases (B2H6, WF6, NF3, CF-gases) since demand increases are expected to outpace supply. With semiconductor manufacturers increasing fab production capacity, the demand for diborane (B2H6) material is rapidly increasing as it is critical in many device applications such as in doped carbon hard masks.

As more CVD/ALD deposition process passes are added for multi-patterning and EUV-lithography, cleaning demand is increasing, thus we anticipate huge growth in NF3 (used for chamber cleaning). With the current projections, demand may outrun supply causing tightness in NF3 availability come 2025-2026. Similarly, TECHCET estimates there may be supply issues with WF6 around 2025-2026. However, the possibility of molybdenum (Mo) replacing tungsten (W) for memory applications could circumvent any WF6 shortage. WF6 demand is primarily driven by vertical scaling in 3DNAND to higher layer counts, though new interconnect materials could lessen any future supply/demand imbalance.

Among the sources of electronic gases highlighted in TECHCET’s CMR are Air Products, Air Liquide, EMD / Merck, Linde, Matheson Gas, SK Materials, Gazprom, Huate Gas, Peric, and many others. For more details on the Electronic Gases market segments and growth trajectory go to: https://techcet.com/product/gases/

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


May 31, 2022

Semiconductor Quartz Materials Market – Positive Demand

Strained but Expansion Plans being Pulled-in

San Diego, CA, May 31, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— announced the 2021 worldwide market for fabricated quartz parts reached US$1,718 million, growing 25% above 2020, with segments of the market in Taiwan and Korea growing over 30%. The global fabricated quartz parts market will expand another 18% in 2022.

In addition to the fabricated parts segment of the quartz market, the base material segment (tubes, rods, ingots, and boules) underwent 27% revenue growth in 2021 and is forecasted to increase 18% or so in 2022. The base material industry segment is in a healthy position because of this positive revenue growth. Base material suppliers are able to invest so to keep up with forecasted industry demand going forward, thus TECHCET does not foresee any shortage of quartz base materials. However, fabricated components supply remains constrained.

As outlined in TECHCET’s newly released report on the Quartz Materials , fabricated quartz components supply is out of balance with demand and strained given the hot market conditions that started in 2021 that are continuing into 2022. The supply chain continues to adapt and work through production and logistic issues; however TECHCET observes backlogs and extended lead-times for these products.

“Production issues were the norm in 2021 given strong market demand, and issues have remained so far in 2022”, said Kuang-Han Ke, TECHCET’s Senior Analyst covering consumable equipment parts. “Current sentiment is that quartz suppliers are starting to pull in expansion plans scheduled for 2023 into 2022. Expansion plans are starting to happen”.

Like other semiconductor industry supply chain sectors, the fabricators need to address issues with delivery schedules, planning for the acquisition of needed production equipment, and the hiring and training of new personnel.

It is interesting to observe that both fab and QEM customers focused quartz parts sourcing in 2021 towards the major and mid-size fabricators. This trend has contributed to the supply chain strain and delivery backlogs. There are options available for customers to work with and develop smaller suppliers to address supply chain issues, though this approach has some risks.

For more details on the Quartz Material market segments and growth visit:
https://techcet.com/product/quartz-equipment-components/

For more information about how to attend the CMC Conference After Hours, go to:
https://cmcfabs.org/cmc-conference-2022/

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


May 24, 2022

CMP Consumables – Supply is Tight and Costs Expected to Rise

as legacy products are replaced by leading edge

San Diego, CA, May 24, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— announced the Chemical Mechanical Planarization (CMP) consumables market for semiconductors grew almost 13% in 2021 to reach US$3.0 billion. The CMP market, including both slurries and pads, will grow almost 9% in 2022 to US$3.3 billion, and the forecast through 2026 shows this market growing at over a 6% CAGR.

CMP processes continue to increase as leading-edge devices ramp up in production. The logic market is seeing a shift from FinFET to GAA, which increases the number of CMP steps and the introduction of new materials for the metal gate, as indicated in “TECHCET’s 2022 Critical Materials Report™ on CMP Consumables.” Under consideration are cobalt, ruthenium, molybdenum, nickel, and various alloys. Strong growth in leading edge DRAM and 3DNAND is boosting demand for tungsten, copper and cobalt pads and slurries. Growth is also driven by advanced 3DNAND as wafer starts for higher layer generations ramp up in production.

Demand will continue to slow for consumables for legacy products, though slurries and pads for commonly used materials, such as oxide and tungsten, will continue to be available. As new materials replace those used in legacy products, availability of consumables for the legacy materials will decrease as some suppliers will discontinue their consumables legacy product lines. This will result in less competition and will likely drive-up prices for legacy CMP products.

Slurry prices are expected to hold or increase, particularly on specialty slurries and on small quantity orders over the next year or two. Nanoceria slurries are in growing demand, driven by the need for improved surface quality and defectivity control.

Due to the lack of competition in the pad market, pad prices will hold firm. Small quantity orders will receive a premium price. However, if the new pad supplier entrants in China gain position in the local market, it could soften prices for competitive pads in China.

For more details on the CMP Consumables market segments and growth trajectory go to: https://techcet.com/product/cmp-slurry-and-pads-only/

For more information about how to attend the CMC Conference After Hours, go to:
https://cmcfabs.org/cmc-conference-2022/

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


May 17, 2022

Europe Chemical Supply Chain – Impact of Fab Expansions

Risk of Supply Interruption Grows With an Increase in Imports

San Diego, CA, May 17, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— examined critical materials dependencies of Europe’s expanding chip-making industry. Six high purity chemicals were identified as having the highest risk of supply interruption by major Europe chip fabricators: liquid and gas hydrochloric acid (HCl), sulfuric acid (H2SO4), hydrofluoric acid (HF), ammonia hydroxide (NH4OH), and isopropyl alcohol (IPA). Demand for these materials is expected to grow 40+% over the next 5 years, as shown in TECHCET’s study titled “The Impact Of Chip Expansions On The Europe Wet Chemical Supply Chain Report.” By 2027, more than 50% of total demand will have to be supplied by imports should there be no additional expansion in local chemical production.

As indicated by the above Figure, TECHCET’s study shows that about 50% of the NH4OH is currently imported; other chemicals have a 20% to 30% dependency, with exception of HCl at < 10%. This picture will change in coming years as new fabs and new chip making capacity come online in Europe.

TECHCET anticipates a 5% CAGR (Compound Annual Growth Rate) growth in wafer starts from Europe-based semiconductor makers through 2027, with the ≤20 nm fabrication node capacity having the strongest growth. (This is nearly double the CAGR experienced over the past 5-10 years.) Europe demand of higher purity material is expected to climb as many of the fab expansions will include more leading-edge capacity. Consequently, the dependence on imported chemicals will rise unless investments are made for manufacturing ultra-high purity chemicals in Europe.

TECHCET sees the local chemical supply-chain as currently strained and challenged to try and supply the upcoming demand. Over the years, many chemical producers have shifted their focus on growing their production in Asia due to lower cost conditions and larger potential demand. This is causing a shrinking availability of locally produced chemicals.

Suppliers are open to investing in capacity and adding capabilities in Europe but find it difficult to meet corporate ROI expectations. Support is needed through co-investments, capital support (including government), and guidance and assistance with regulatory compliance. A return on investment needs to be realized as suppliers will not expand without margins or longer-term business to justify the investment. Multiyear supply commitment, predictable volumes, and reasonable expectations on pricing to spread/share risks are just a few criteria suppliers seek to address in deciding on an investment plan.

Given the supply chain constraints and chip industry growth expected in the next 3-5 years, the semiconductor makers in Europe should put in place strategies to support their demand for these key chemicals. Two key strategies should be considered: 1) look for alternative sources of supply, and 2) work with the government and/or investment community to bring more local chemical production back into Europe.

For more details on the Europe Chemical market segments, issues, and growth trajectory go to: https://techcet.com/product/the-impact-of-chip-expansions-on-the-europe-wet-chemical-supply-chain-new/

For more information about how to attend the CMC Conference After Hours, go to:
https://cmcfabs.org/cmc-conference-2022/

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


May 11, 2022

Reuters Exclusive: Russia’s attack on Ukraine halts half of world’s neon output for chips

By Alexandra Alper

WASHINGTON, March 11 (Reuters) – Ukraine’s two leading suppliers of neon, which produce about half the world’s supply of the key ingredient for making chips, have halted their operations as Moscow has sharpened its attack on the country, threatening to raise prices and aggravate the semiconductor shortage.

Some 45% to 54% of the world’s semiconductor-grade neon, critical for the lasers used to make chips, comes from two Ukrainian companies, Ingas and Cryoin, according to Reuters calculations based on figures from the companies and market research firm Techcet. Global neon consumption for chip production reached about 540 metric tons last year, Techcet estimates.

Both firms have shuttered their operations, according to company representatives contacted by Reuters, as Russian troops have escalated their attacks on cities throughout Ukraine, killing civilians and destroying key infrastructure.

The stoppage casts a cloud over the worldwide output of chips, already in short supply after the coronavirus pandemic drove up demand for cellphones, laptops and later cars, forcing some firms to scale back production.

While estimates vary widely about the amount of neon stocks chipmakers keep on hand, production could take a hit if the conflict drags on, according to Angelo Zino, an analyst at CFRA.

“If stockpiles are depleted by April and chipmakers don’t have orders locked up in other regions of the world, it likely means further constraints for the broader supply chain and inability to manufacture the end-product for many key customers,” he said.

Before the invasion, Ingas produced 15,000 to 20,000 cubic meters of neon per month for customers in Taiwan, Korea, China, the United States and Germany, with about 75% going to the chip industry, Nikolay Avdzhy, the company’s chief commercial officer, said in an email to Reuters.

The company is based in Mariupol, which has been under siege by Russian forces. On Wednesday, Russian forces destroyed a maternity hospital there, in what Kyiv and Western allies called a war crime. Moscow said the hospital was no longer functioning and had been occupied by Ukrainian fighters.

“Civilians are suffering,” Avdzhy said by email last Friday, noting that the company’s marketing officer could not respond because he had no internet or phone access.

Cryoin, which produced roughly 10,000 to 15,000 cubic meters of neon per month, and is located in Odessa, halted operations on Feb. 24 when the invasion began to keep employees safe, according to business development director Larissa Bondarenko.

Bondarenko said the company would be unable to fill orders for 13,000 cubic meter of neon in March unless the violence stopped. She said the company could weather at least three months with the plant closed, but warned that if equipment were damaged, that would prove a bigger drag on company finances and make it harder to restart operations quickly.

She also said she was unsure the company could access additional raw materials for purifying neon.

The Economy Ministry of Taiwan,home to the world’s largest contract chip maker TSMC, said that Taiwanese firms had already made advanced preparations and had “safety stocks” of neon, so it did not see any supply chain problems in the near term. The statement to Reuters echoed similar remarks from Taiwan’s central bank earlier on Friday.

But smaller chipmakers may be harder hit, according to Lita Shon-Roy, president of Techcet.

“The largest chip fabricators, like Intel, Samsung and TSMC, have greater buying power and access to inventories that may cover them for longer periods of time, two months or more,” she said. “However, many other chip fabs do not have this kind of buffer,” she added, noting that rumors of companies trying to build up inventory have begun to circulate. “This will compound the issue of supply availability.”

To read the rest of the article, click here: https://www.reuters.com/technology/exclusive-ukraine-halts-half-worlds-neon-output-chips-clouding-outlook-2022-03-11/

Back to top


May 10, 2022

Semiconductor Materials at a Critical Tipping Point

Key Industry Issues Revealed

San Diego, CA, May 10, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— held its highly successful and well-attended 2022 Critical Materials Conference in Chandler, Arizona on April 28th and 29th. Over 300 attendees and speakers participated in the event to hear about and to discuss semiconductor material issues and trends that are critical to the entire semiconductor industry supply chain—now and in the future.

2022 CMC Conference Keynote Speaker, John Whitman, Corporate Vice President, Operations Central Team & Procurement at Micron Technologies Corp., and Karey Holland, Ph.D., Sr. Advisor and CMC Conference Co-Chair, TECHCET

The opening keynote for the conference was delivered by John Whitman, Corporate Vice President, Operations Central Team & Procurement; Micron Technologies Corp. and addressed the topic of “Covid Impacts on our Supply Assurance Playbook”. The Covid pandemic and subsequent logistic and other supply chain issues over the past two years have highlighted critical issues for the semiconductor industry. Just-in-time delivery has lessened as a procurement priority, and now manufacturers focus on supply chain stability. It is important for device makers to build their supply chain around Business Continuity Plans (BCP) so to ensure production schedules are maintained.

Industry-wide, there is an opportunity for companies to share information through digitization of supply-chain data. With sharing of information and data, companies across the supply chain can collaborate and solve critical issues with respect to materials delivery and performance, thus optimizing semiconductor processing.

This technical conference includes 5 impactful sessions with focuses on 1) Business Trends & Global Issues, 2) Immediate Challenges of Materials & Manufacturing, 3) Future Challenges for Equipment & Component Processes, 4) Emerging Materials & Processes, and 5) Materials for Advanced Packaging and Heterogeneous Integration.

The Conference has just opened up registration for “After Hours” interaction offering online viewing of presentation videos and pdf downloads, and virtual networking opportunities with other “attendees.”

  • On Day 1, sessions 1 through 3 cover a gamut of topics critical to the semiconductor industry supply chain. Topics from the industry outlook for devices, semiconductor manufacturing equipment and materials, to water supply challenges, green manufacturing initiatives, and workforce development were all discussed.
  • On Day 2, the event focused on emerging materials applications including materials needed for 3nm and smaller technology nodes as well as material challenges of interposes versus bridges and other issues concerning wafer level modeling materials.

For more information about how to attend the CMC Conference After Hours, go to:
https://cmcfabs.org/cmc-conference-2022/

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


April 21, 2022

2022 Strong Demand Straining Litho Material Supply-Chains

Supply Chain Pressures Expected to Drive Pricing Upward

San Diego, CA, April 21, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— announced that the Photoresist market is forecasted to increase 7% to top US$2.2 billion, up from US$2.1 billion in 2021. This is largely attributed to the rise in KrF type resist demand used for 3D NAND production, and the rise in EUV resists for leading-edge logic devices.

Demand for semiconductor materials such as photoresist (and the extension and ancillary materials necessary to process photoresists) is high, and we expect prices to be pushed higher as supply chains continue to impact raw material availability and costs. Chemical makers are experiencing higher costs for polypropylene, ethylene, resins, containers, and labor. Photoresist suppliers, in general, have been increasing product prices to combat inflationary and supply chain pressures.

EUV type resists are gaining momentum as large semiconductor makers have ramped EUV materials usage. The DRAM segment will be ramping EUV lithography as well, thus, further contributing to growth in this resist segment.

TECHCET is forecasting growth of both Extension and Ancillary materials growing 5% to 6% CAGR by volume through to 2026.

An interesting trend to observe in this materials segment is the on-going investments by China-based suppliers to develop photoresist materials. China is increasing investment in photoresist manufacturing to reduce dependency on foreign sources for these critical materials. These unfolding development initiatives in China will impact the lithography materials markets in the years ahead. In the meantime, the companies traditionally supplying the lithography materials market have announced new investments, especially manufacturing capacity in South Korea and Taiwan, to boost photoresist production.

For more details on the Lithography materials market segments and growth trajectory go to:
https://techcet.com/product/photoresists-and-photoresist-ancillaries/

Don’t forget to register for the 2022 Critical Materials Conference (CMC) on April 28-29 in Chandler, AZ!

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


April 7, 2022

Semiconductor Wafers Supply-Chain Critically Tight

Threat of Shortages Increasing

San Diego, CA, April 7, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— announced the Silicon Wafer market, including SOI wafers, will increase more than 10% in 2022 to top US$15.5 billion, up 14.8% from 2021. Strong overall demand and higher pricing related to increasing raw material and energy costs are driving up revenues. This will be the first time in over a decade that the wafer market will see two consecutive years of double-digit growth.

As with other semiconductor materials, supply of Silicon Wafers is tight, and lead-times are increasing. There is no excess capacity in the market – 300 mm wafering capacity is on the order of 7,200 wafers per month (wpm), which is approximately equal to the projected demand for this year. However, Silicon Wafers are specialized to customer specifications and device requirements, so capacity constraints vary from customer to customer depending on product mix, as well as volume demand.

Debottlenecking and some brownfield expansions are ongoing. This may partially alleviate the current supply constraints; however, such manufacturing capacity growth will not be enough to satisfy projected wafer demand in 2022 and 2023. Some wafer suppliers have stated current manufacturing cannot fully support demand, so customers will be on allocation.

With this context provided, the major wafer suppliers, like Shin-Etsu Handotai (SEH), SUMCO, GlobalWafers, Siltronic, and SK Siltron, have indicated that they will invest in greenfield plants to further boost wafering capacity. It will take time, 2-3 years, for plants to be constructed and equipped so production from new greenfield plants will not contribute to wafer output until 2024. Until then, supply will be tight causing prices to increase. Raw material and energy costs are also on the rise, adding to the upward price pressure.

The oncoming wafer shortage will create an opportunity for newcomers – emerging 300 mm wafer suppliers in China, like Zing Semiconductor, could help fill some of the demand if they are able to meet qualification standards for 300 mm production wafers as well as test/monitor wafers.

For more details on the Silicon Wafer Target market segments and growth trajectory go to:
https://techcet.com/product/silicon-wafers/

Don’t forget to register for the 2022 Critical Materials Conference (CMC) on April 28-29 in Chandler, AZ!

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


March 22, 2022

Sputtering Target Demand Remains Strong – Metal Supply Chain Stressed

Metal Pricing on the Rise Driven Further by Russia/Ukraine Turmoil

San Diego, CA, March 22, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— announced the Sputtering Target market for semiconductors increased 11.5% in 2021 (excluding precious metal targets) to reach US$764 million. The sputtering target market will further grow to 7% in 2022 to approximately US$820 million as demand continues to remain strong, though the global metal supply chains face many stresses.

Semiconductor target suppliers report strong demand continuing through 2022. Supply of high-purity copper, aluminum, and titanium is expected to remain tighter in 2022; however, target manufacturers with internal metal purification capacity will have more flexibility around high-purity metal supply constraints. When it comes to expanding capacity, target suppliers are encountering problems. For example, the delivery of machine tools, casting and rolling equipment needed for sputter target making have extended lead-times causing delays in expansion plans.

Rise in Metal Prices Pushing Further Upward: Metal pricing is now under new upward pressures given geopolitical issues surrounding Russia, the metals market response to the war, and increased energy costs. Titanium (Ti) sponge pricing is expected to increase as large aeronautical manufacturers refrain from sourcing of Ti sponge out of Russia. This will increase demand for sponge material from Japan and other nations, thus pushing prices higher. Additionally, spot market prices for some metals are shooting up, as investors speculate on availability of materials coming out of Russia. Finally, energy and transportation costs are on the rise, adding to the upward price trend. These issues, coupled with limited public announcements on new investments to expand target manufacturing capacity, are driving higher prices across the sputtering target supply chain.

In this environment, fab customers will likely seek out smaller suppliers to support demand growth, though such suppliers will require support and close partnerships with fabs to deliver targets required for leading-edge applications.

For more details on the Sputtering Target market segments and growth trajectory go to:
https://techcet.com/product/sputter-targets/

Don’t forget to register for the 2022 Critical Materials Conference (CMC) on April 28-29 in Chandler, AZ!

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com

Back to top


March 18, 2022

Vox: The neon shortage is a bad sign

By Rebecca Heilweil

Neon, a colorless and odorless gas, is typically not as exciting as it sounds, but this unassuming element happens to play a critical role in making the tech we use every day. For years, this neon has also mostly come from Ukraine, where just two companies purify enough to produce devices for much of the world, usually with little issue. At least, they did until Russia invaded.

Faced with the devastating reality of war, Ukraine’s neon industry halted production. One of Ukraine’s two primary neon companies, Ingas, is based in Mariupol, which has been repeatedly bombed by Russian forces and is currently under siege. The other company, Cryoin, is based in Odesa, where citizens are currently preparing for an assault. And amid terrifying conditions and a mounting number of civilian casualties, the safety of the people who work at these firms is the priority, not the potential impact on tech manufacturers.

There will be ripple effects, though. Semiconductor manufacturers rely on neon to control the specialized lasers they use to make computer chips. Right now, it’s not clear whether they have enough time to find and develop new sources of this gas before their backup supplies run out: Chip companies and industry analysts say there’s anywhere between one to six months worth of neon in reserve. If that runs out, these companies won’t be able to make semiconductors. This means that the worldwide chip shortage — which was expected to end sometime in the next year or so — could draw out even longer, leading to higher prices, delivery delays, and shortages of critical technology.

“Whether it’s electronics, cars, computers, phones, new airplanes, anything you can think of has a semiconductor chip,” explains Unni Pillai, a professor of nanoengineering at SUNY Polytechnic Institute. “In the long run, if it doesn’t resolve, then you may not be able to buy these products on the market anymore.”

This looming shortage is a grave reminder that technology manufacturing is spread throughout the world and across different companies, some of which are clustered in a single country. Hardware companies like Apple, Samsung, and Intel tend to like this approach because they buy components from specialized third-party manufacturers, which allows them to cut down on labor costs and assemble devices with a high level of efficiency. What the ongoing war in Ukraine makes clear, however, is that this system is also precarious, and that a snag in the production of even the most basic component or ingredient can jeopardize the world’s access to all sorts of tech. Neon is just the latest example, but it’s unlikely to be the last.

“The change that’s happening right now — to go from a more global economy to a more local economy — this [war] is indeed pushing it in that direction,” Lita Shon-Roy, the president and CEO of Techcet, explained. “Each region will have to put things in place to allow them to be more self-sufficient in terms of materials.”

In the case of neon, this is already happening. Back in 2016, Ukraine produced about 70 percent of the neon used in the world’s semiconductors, according to Techcet. But in the wake of the Crimea crisis, new sources of neon started to pop up. Some US steel manufacturers reconfigured air separation tech they already had so they could capture neon, and one American industrial gas company, Linde, spent $250 million to build a neon production site in Texas. Manufacturers in other countries, including China and South Korea, are also manufacturing their own neon supplies. In response, Ukraine’s share of the neon market has declined.

A solution to the neon shortage won’t be the end of the chip industry’s problems, though. Even if new sources of neon arrive, the ongoing war could still create a shortage of other critical supplies used for chips. Russia makes a large chunk of the US’s supply of palladium, a metal that’s used in semiconductors and catalytic converters. It also provides much of the world’s nickel, a critical material for making electric vehicle batteries, and C4F6, another gas used in chipmaking. At the same time, Mykhailo Fedorov, Ukraine’s minister of digital transformation, is calling on some chip companies, including Qualcomm, to withdraw from Russia entirely.

To read the rest of this article, click here: https://www.vox.com/recode/22983468/neon-shortage-chips-semiconductors-russia-ukraine

Back to top


March 15, 2022

Wet Chemicals Challenges to Growing Demand and Imports – Double-Digit Growth; Changing Market Landscape

Acquisitions and Investments to Alter Supply Chains

San Diego, CA, March 15, 2022:  TECHCET—the electronic materials advisory firm providing business and technology information— announced a positive growth outlook for Wet Chemicals through 2026; The 2022 Wet Chemicals, including both Basic Chemicals and Specialty Cleans, market is forecasted to surpass US$2.5 billion, as semiconductor wafer starts push forward and the number of process steps increases for leading-edge devices. Challenges exist in the market: both raw material and logistic costs rise in the near-term; and purity and residue removal at leading-edge nodes pushes the yield envelope during processing. Asia Imports for high purity chemicals appear to be the only supply currently available that can satisfy forecasted demands.

Acquisitions and investments will be changing the market landscape for the Wet Chemicals segments. Numerous announcements have been made concerning new plants and plant expansions to boost chemical manufacturing technology in regions of strong fab investments: US (Arizona area); China; South Korea; and Taiwan. The investments occurring in South Korea continue the localization trend of boosting domestic supply of key chemicals critical to the semiconductor fabs there.

China is a key source of raw materials for manufacturing critical Wet Chemicals, and surging production costs and strong domestic demand has resulted in higher pricing for chemicals. For example, both Sulfuric and Hydrofluoric Acids prices increased in 2021. Pricing pressures remain in the marketplace, especially for the supply chain in China, as energy costs/constraints and environmental issues will likely keep upward pricing pressures in place over the foreseeable future.

The Specialty Cleans segment, which includes Post-Etch Residue Removal (PERR) and post-Chemical Mechanical Planarization (pCMP) cleaning, will experience 6.8% growth in 2022 and a 5% Compound Annual Growth Rate (CAGR) through the 2021 to 2026 forecast period. PERR formulations are proprietary and precise control of the formulations and the process is critical for high yields. Cobalt CMP is a relatively new process and is being incorporated into all leading-edge logic devices at 5 nm and below process nodes.

For Basic Chemicals, lower levels of purities are required for Isopropyl Alcohol (IPA) and Sulfuric Acid in leading-edge in logic processes, and this presents new manufacturing challenges for the suppliers. Usage of Special Phosphoric Acid blends are growing and are critical to 3D NAND growth.

For more details on the Electronics Gas market segments and growth trajectory go to:
https://techcet.com/product/specialty-cleaning-chemicals/

Don’t forget to register for the 2022 Critical Materials Conference (CMC) on April 28-29 in Chandler, AZ!

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com

Back to top


March 7, 2022

EURACTIV: Ukraine war could further disrupt semiconductor production

By Mathieu Pollet

The war in Ukraine might upset the European Union’s ambitions to become a leader in chip production, but Russia is set to pay a direr price in the long term.

Russia’s war against Ukraine, which began with a military attack on 24 February, is likely to have many side effects in the medium to long term for the semiconductor industry, a top priority for Brussels’ digital sovereignty.

The production of neon, palladium, and C4F6, three materials crucial for microchips, could be impacted by the situation, the consultancy firm Techcet has said. “These materials are vital to semiconductor processing and irreplaceable,” Technet’s analysts told EURACTIV.

Neon, for example, is a gas that is essential to the operation of lasers for engraving chips and is used almost exclusively for this purpose. It is present in tiny quantities in the air and needs to be processed in huge volumes.

Russia produces a lot of neon because it has a large steel industry which requires a lot of oxygen. The country then sends it to Ukraine, where the neon is extracted and purified for export. At least, that was the case before the invasion.

“If the current situation escalates, US chipmakers may suffer material supply interruptions,” Techcet president Lita Shon-Roy warned at the start of February when tensions were already high. The US depend almost exclusively on the Ukraine-Russia duo for its neon needs.

Russia is also one of the world’s largest palladium producers, a rare metal also used in the manufacture of some semiconductors. The consultancy firm estimates that Russia supplies 37% of the world’s supply, second only to South Africa (40%).

A ‘weak’ immediate impact

According to the Techcet experts, the immediate impact on the EU industry will be “weak”.

However, “the semiconductor supply chain is already strained due to the increase in chip demand.” and “any material supply disruption can negatively impact chip production in the next 6-12 months”.

According to them, the situation should thus “certainly slow down the growth trajectory that the EU chip industry is hoping to see.”

Today’s global semiconductors market accounts for over €500 billion – a figure that should double by 2030. Europe accounts for 10% of the worldwide production, compared to 24% in 2000 and 44% in 1990.

The consequences of the war will depend on “how well prepared each chipmaker is, in terms of their buying power, and whether they have already set up alternative sources of supply,” the Techcet analysts also highlighted.

To read the rest of this article, click here: https://www.euractiv.com/section/digital/news/ukraine-war-could-further-upset-the-production-of-semi-conductors/

Back to top


March 3, 2022

Electronic Gas Markets – Strong Growth, Pressing Supply-Chain Issues

Neon Gas Faces Supply Issues Due to the Russia/Ukraine Conflict; Supply Constraints for Helium Gas in 2022

San Diego, CA, March 3, 2022:  TECHCET—the electronic materials advisory firm — announced a positive growth outlook for Electronic Gases through 2026. The 2022 Electronic Gas market is expected to top US$7 billion, driven mainly by logic chip fab expansions. Despite an expected 8% CAGR, there are pressing supply-chain issues, both nearer term and possibly longer term, exist in this industry segment. The Russia-Ukraine war is sparking growing concerns relating to the availability of gases such as neon, helium and other gases critical to semiconductor production around the globe.

The turmoil in the region, and related economic sanctions against Russia, will likely affect several key gases used by the semiconductor industry. Neon and helium are two examples. TECHCET’s latest analysis shows that majority of all high purity neon imports into the US is coming from the Ukraine. This represents <50% of total US demand.

TECHCET’s contacts in Ukraine indicate that rare gas purifiers in Ukraine have been temporarily shut down due to the conflict. So far there has only been an interruption of 5-6 days. However, if the fighting lingers on this could have a major impact on the chip industry that has been pushing hard to increase production.

Many of the supply-chains that support the industry are running thin on capacity. Investments in more material production has been lacking and demand for materials has been growing so fast that material pinch points exist in nearly every material segment, including gas processing equipment and subsystems. On-going issues on lead-times for all types of components related to gas processing (tool gas box upgrades, precursor deliver systems, bulk refill) are expected to impact lead-times for gas and precursor supply systems

“Even those material supply-chains that were looking fairly balanced in 2020 are increasingly getting pinched and any perturbation in the supply-chain can cause shortage issues,” said Lita Shon-Roy, TECHCET’s President & CEO. Helium is a prime example where hiccups in the supply-chain are causing increased lead-times and availability problem as the chip industry pushes up their demand. The US BLM, the US’s key source of helium, has been experiencing maintenance issues, delaying product availability, and Russia’s Gazprom purified helium production has been delayed (6 months or more) due to fire incidents over the past several months. “Add war into the mix and supply can be even further strained,” offered Shon-Roy.

As new semiconductor device fabs come online in the next few years, supply constraints may appear for other gases (B2H6, WF6, NF3, CF-gases) as demand increases are expected to outpace supply.

For more details on the Electronics Gas market segments and growth trajectory go to:
https://techcet.com/product/gases/

Don’t forget to register for the 2022 Critical Materials Conference (CMC) on April 28-29 in Chandler, AZ.

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com

Back to top


February 1, 2022

Supply-Chain Threats from Russia-US Tensions

Can Dependencies on Russia Materials Impact US Chip Production?

San Diego, CA, February 1, 2022: TECHCET addresses the Supply-Chain Threat from Russia-US turmoil—as the threat of war from Russia on Ukraine wears on, the potential for materials supply interruption is now a concern. TECHCET analysts have uncovered US dependencies on Russian C4F6, Neon, and Palladium, critical materials used to make semiconductor chips.

Russia is a crucial source of C4F6 which several US suppliers buy and purify for use in advanced node logic device etching and advanced lithography processes for chip production. The US market consumes about 8MT of C4F6 per year.

US Neon supply, used for laser gas (needed for lithography), is almost entirely from Ukraine/Russia. Russia produces Neon, a byproduct of steel manufacturing. This Neon is then sourced and purified by a specialized Ukrainian company.

Russia is also a key Palladium (Pd) supplier, along with South Africa, and supplies approximately 33% of the global demand. Palladium is used in sensors and emerging memory (MRAM), and as a plating material for some packaging technologies. Pd is primarily employed as a catalyst, and is especially important for automotive emission systems.

If the conflict escalates, and/or if the US imposes more sanctions on Russia, could Russia retaliate by withholding critical materials needed for US chip production? TECHCET’s CEO/President Lita Shon-Roy states, “The answer is YES—because the conflict may impede exports from Ukraine, Neon supply would be immediately impacted.” Moreover, C4F6 and Palladium exports that come directly from Russia could also be affected and used as leverage against trade sanctions. Shon-Roy adds, “We hope it will not come to that, however, if the current situation escalates, US chip makers may suffer material supply interruptions.”

For the latest information on business and technical trends including materials supply chain issues, contact, [email protected], 1-480-382-8336, or go to Techcet.com.

Don’t forget to register for the 2022 Critical Materials Conference (CMC) on April 28-29 in Chandler, AZ.

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com

Back to top


January 17, 2022

2022 Semiconductor Materials Outlook

COVID-19 Pandemic Still Having Lasting Effects on All Materials

San Diego, CA, January 17, 2022:  TECHCET—the electronic materials advisory firm — announced that global revenues for semiconductor manufacturing and packaging materials grew approximately 12% year-over-year (YoY) in 2021 to ~US$57.8 B, and are expected to grow 7% in 2022 to over US$61.7 B. The COVID-19 pandemic has created strong demand for both logic and memory ICs to support continued Work from Home and School from Home, and as such demand is expected to increase despite expanding availability of virus vaccines. The compound annual growth rate (CAGR) 2020-2025 is forecasted at > 6% as per the latest TECHCET Critical Materials Reports (CMR) and shown in the figure below.

Strong growth in 3DNAND and advanced logic devices is expected to be the leading driver for materials revenue growth through 2025. As indicated by TECHCET’s Critical Materials ReportsTM and market research consulting:

Top Concerns About Supply Availability – Demand Outstripping Supply

  • Silicon wafer supply-chain is increasingly strained by growing demand with minimal additional wafer making capacity in the near-term.
  • Multiple materials segments including gases and wet chemicals are being impacted by raw material availability issues from China, driven by electric power limitations and regulations, in addition to increase in local demand for materials.
  • Equipment Parts capacity is likely to remain below demand for the next year as equipment and raw materials needed to increase volumes are experiencing long lead-times, 2X-3X normal.
  • Even if the pandemic subsides later this year, the supply tightness is expected to continue beyond 2022 as parts will be needed to support growing 3DNAND and leading-edge logic device production.

Continued high growth in ALD/CVD precursors is expected, as leading-edge Logic and Memory chip demand will accelerate consumption in this materials segment. Additionally, more precursors are being demanded by new processes for advanced devices incorporating 3D-stacked scaling and new patterning processes.

For the latest information on business and technical trends in devices and materials attend the CMC Conference 2022 April 28-29, in Chandler, AZ.

For More information on TECHCET’s Critical Materials Reports™ and Market Briefings: TECHCET CMRs

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com

Back to top


January 6, 2022

Consumables Industry Feeling a Swell in Demand

CMP Slurry and Pad Market Forecasted 13% Growth for 2021

San Diego, CA, January 6, 2022:  TECHCET—the electronic materials advisory firm — announced that CMP consumables demand is surging upward as device makers continue to push up production, and equipment suppliers scramble to build their backlog. “Shipments of CMP pads and slurries are at a 10-year high,” states Lita Shon-Roy, President of TECHCET.

The 2021 revenue forecast for the CMP slurry market is a TAM US$1.89 B growing 13% over 2020, with a 5-yr CAGR 8.8%; from TECHCET’s analysis of CMP Pads & Slurry markets. The strongest segments are Cobalt and PolySi slurry, while the Cu, Tungsten, and Oxide segments hold the largest revenue. Under normal market conditions prices are being driven down by competition and pressure from device makers persists in attempts to reduce costs. However, during this high growth period, we expect prices to hold steady or increase slightly. Slurries for advanced products will support higher prices because they are not as readily available.

The 2021 revenue forecast for the CMP pad market is a TAM of US$1.15B, also growing 13% year over year. A 6.2% CAGR is expected (2020 to 2025); lower growth than the slurry market due to increased pad life and price competition from China. The largest growth segments include Cobalt and PolySi pads, while oxide, tungsten, and Cu bulk pads make up the majority of the revenues. Due to the strength of the major shareholders like DuPont and Cabot in the pad market, pad prices will hold firm. However, if the new entrants in China are starting to gain position in their local market, which will lead to price competition in China. As for other process materials, shipping costs of CMP consumables have dramatically increased, which will be passed on to the customer.

Supply Chain Concerns:

  • Transportation delays, huge price increases for overseas shipments, warehousing challenges are all resulting in higher costs and quality issues for some slurries.
  • Equipment suppliers are seeing long-lead times on sub-components and some process materials which are slowing down the expansion plans of device makers.
  • Higher than expected materials demand is making the supply-chain tight and may ultimately result in shortages
  • Increasing dependencies on Asian suppliers of chemicals pose a greater risk of supply-interruption given ongoing logistics problems caused by persistent COVID19 and variants.

For more information about the CMP Slurry and Pad market, check out TECHCET’s report on CMP Slurry, Pads & Conditioners: hhttps://techcet.com/product/cmp-slurry-and-pads-only/

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top