2023- TECHCET News



December 8, 2023

Semiconductor Materials Market – Better Things to Come in 2024

Despite downturn in 2023, material demand and market growth are on the rise

San Diego, CA, December 8, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — announced that the Total Semiconductor Materials market is forecasted to rebound with almost 7% growth in 2024 to reach US$74 billion. This upwards turnaround comes after a contraction of -3.3% in 2023 due to the overall semiconductor industry slowdown and decline in wafer starts. Looking ahead, the total semiconductor materials market is expected to grow at over a 5% CAGR from 2023 through 2027. By 2027, TECHCET anticipates the market to reach US$87 billion or more, with new global fab ramps contributing to a potentially higher market size.

Although the 2023 slowdown has eased supply constraints, tightness in supply is expected to resume in 2024 for 300 mm wafers, epitaxial wafers, some specialty gases, and perhaps copper alloy targets with the ramp of new fabs globally. The degree of supply tightening will be a function of material supplier expansion delays.

Strong demand growth could strain supply chains if material/chemical production capacity do not keep pace with fab expansions. TECHCET has been tracking high-purity chemical production availability in the US, and has identified several areas where imports will be necessary to support demand.

In addition to the global fab expansion, new device technologies will drive materials market growth as new materials and additional process steps are needed for Gate-All-Around Field Effect Transistors (GAA-FET), 3D DRAM, and 3D NAND as layer count approaches 5xxL. These materials include specialty gases for EPI silicon/silicon germanium, EUV photoresist and developers, CVD and ALD precursors, CMP consumables and cleaning chemicals (including highly selective nitride etch), and more.

Other lingering supply chain constraints and potential chokepoints could also cause issues as fabs expand capacity. For example, geopolitical issues between China and the US are beginning to strain germanium and gallium supply chains, while risks with rare earths supply are heightening due to China’s major stake on these materials.

Another concern in the US is on regulatory issues potentially limiting material supply expansions. Permitting around regulations can add time and costs to expansion projects. Additionally, government regulations for EHS hazards may regulate PFAS materials out of existence, forcing material suppliers to develop alternative replacements that will take time to develop and qualify.

For more details on segmented forecasting for the Semiconductor Materials Market, including ALD/CVD Precursors, Wet Chemicals & Specialty Cleans, CMP, Electronic Gases, Silicon Wafers, and more, go to: https://www.techcet.com

To discuss more on Wet Chemicals, come speak with Kevin McLaughlin, Ph.D., Senior Analyst at TECHCET, at the SEMICON Japan Semiconductor Materials Forum on Dec. 13th at 2:40PM in East Hall 8.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please email us here, call +1-480-332-8336, or go to www.techcet.com.

Back to top


December 4, 2023

Wet Chemicals Market to Make an Upward Turn in 2024

Chemical consumption to increase for leading-edge tech and fab expansions

San Diego, CA, December 4, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — sees the semiconductor wet chemicals market contracting slightly in 2023, followed by a 6% rebound in 2024. The future ramp will be largely driven by growth in chemical consumption from leading-edge device technology, especially as expansion in 3DNAND layers ramp to 5xxL layer count. The continued expansion of global chip fab capacity will grow the wet chem market 6% YoY (2023-2027 CAGR). By 2027, TECHCET estimates the wet chemicals market segment to reach US$6.9 billion, as indicated in TECHCET’s 2023-2024 Critical Materials Report™ on Electronic Wet Chemicals.

From the device perspective, increased process steps and demanding yield requirements will drive growth and consumption of wet chemicals since cleaning steps are critical for achieving high yields. For example, as the layer count increases in 3DNAND, Highly Selective Nitride etch (HSNE) formulation is expected to see strong growth since it is an important driver in phosphoric acid consumption.

Dynamics in the wet chemicals market have changed over the past couple of years given logistic challenges, energy costs, and geopolitical events. As a result of geopolitical events and global fab expansions, a regional supply base catered to the requirements of Integrated Device Manufacturers (IDM’s) is becoming increasingly necessary to be competitive in the wet chemicals market. There is concern that specific chemical supply chains may face economic problems connected with inflation, the Russia-Ukraine situation, and raw material sources from China.

In addition, energy costs are changing in several regions, which heightens risks for material costs. Today, higher energy costs have contributed to upwards pricing pressures for materials. Prior to 2020, prices were seldom adjusted. However, the market saw significant changes to pricing in 2021 and 2022 with no ease in pricing in 2023. Given concerns about increased costs from energy and regionalization, TECHCET expects a continued pushback from suppliers on requests to return to pre-pandemic prices.

For more details on the Semiconductor Wet Chemicals market segments and growth trajectory, including profiles on AUECC, Avantor, BASF, Chemtrade, and more, go to: https://techcet.com/product/specialty-cleaning-chemicals/

To discuss more on Wet Chemicals, come speak with Kevin McLaughlin, Ph.D., Senior Analyst at TECHCET, at the SEMICON Japan Semiconductor Materials Forum on Dec. 13th at 2:40PM in East Hall 8.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please email us here, call +1-480-332-8336, or go to www.techcet.com.

Back to top


November 22, 2023

Semiconductor Ceramic Parts Market to Rebound in 2024

New investments in CVD SiC expected from equipment component suppliers

San Diego, CA, November 22, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — has announced that the consumable ceramic equipment parts market will contract by -5% in 2023 to US$2.5 billion. Ceramic fabricated parts, including alumina (Al2O3), aluminum nitride (AlN), silicon carbide (SiC), and chemical vapor deposition SiC (CVD- SiC), are consumed as components in semiconductor process equipment, meaning that market trends are directly tied to fab wafer production and semiconductor equipment sales. The ceramic fabricated parts market will grow on the order of 2% to 5% in 2024, and will top over US$3 billion by 2027, as indicated in the graph below from TECHCET’s newly released Critical Materials Market Report on Ceramics™.

Previous backlogs from 2020-2022 in the Ceramic Parts Market have gradually cleared given the industry slowdown, which is allowing some fabricators time to work on efficiency improvement projects. Long lead-times for large SiC components previously stretched to over 1 year, though they have shortened slightly.

Semiconductor equipment makers using CVD SiC will continue for the foreseeable future, driving growth of CVD SiC used for advanced semiconductor capital equipment applications. New investments in growing CVD SiC capacity are finally beginning to appear after previous supply shortages. The 2023 lead-time in CVD SiC has improved, so this is a good sign for this segment of the industry, which TECHCET expects to see strong growth in. Given the expense and expertise needed, it is difficult for new players to enter the CVD SiC market. However, large silicon and quartz suppliers are expected to enter this market segment over the next several years.

Both the SiC and AlN markets will continue to exhibit stronger growth than alumina and other ceramic materials due to contributions to increasing productivity, reduced defects, and process requirements for next-generation thermal processes.

200 mm component market demand is still ongoing and well supported by small ceramics grind shops/fabricators. With that said, there are indications that ceramic fabricators in China are suffering more than their overseas competitors in 2023. This is due to the economic situation in China, the US/China geopolitical situation, and US customers buying less components from Chinese sources. In some cases, there could be a much greater revenue drop in 2023 for some of those suppliers.

For more details on the Semiconductor Ceramic Fabricated Parts market segments and growth trajectory, including profiles on 3M, Applied Ceramics, Ferrotec, Resonac, and more, go to: https://techcet.com/product/ceramics/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


November 7, 2023

2023 CMC Seminar Unveils Semiconductor Industry Hurdles

Materials supply chains logistics, quality, and metrology complexities

San Diego, CA, November 7, 2023: The 2023 Critical Materials Council (CMC) Seminar in Taichung, Taiwan centered on, “Future-Proofing the Supply Chain,” as introduced by keynote speaker Donghui Lu, Corporate VP at Micron Taiwan. Mr.Lu’s presentation touched upon the risks and inefficiencies in global semiconductor materials sourcing and ultimately called for the tightening of the supply chain through three key actions: co-locate, invest, and expand. Lita Shon-Roy, President and CEO at TECHCET, built upon Lu’s points by highlighting key material supply “chokepoints,” and adding government support, improved trade relations, and more as solutions to alleviating them.

Chris Wright, EVP, Chief Operations Officer, Rinchem speaking on” The Future of Global vs. Local Movement of Chemicals and Gases”

Alongside Lu and Shon-Roy were other thoughtful speakers presenting on logistics, quality, and metrology challenges within the local and global semiconductor materials supply chain. Cheng Ting-Fang, Chief Tech Correspondent at Nikkei Asia, unveiled the mystery of the PFAS supply chain, showing the existence of a PFAS village in Suzhou, China, and the growing industry reliance on this key supply source. New methods for smart data collaboration to sustain and improve materials quality were presented by Chris Han-Adebekun, VP of Business Development at Athinia, and Vish Srinivsian, Sr. Director of Supplier Programs at Micron. Furthermore, invited Taiwanese and US government officials participated in a panel discussion on future policy direction for the growth of the global semiconductor industry.

The Seminar was attended by suppliers and major chip fabrication companies from Asia, the US, and Europe. CMC members also participated in interactive private group meetings earlier that week at Micron’s facility in Taichung, where they discussed the impact of geopolitics on the semiconductor materials supply chains. TECHCET was highly satisfied with the engagement and insight brought to these events and is looking forward to the next set of CMC Member Meetings on April 9-10 and the CMC Conference on April 10-11, 2024, in Chandler, AZ.

For more details on CMC events, go to: https://cmcfabs.org/. If you are interested in accessing this year’s Seminar presentations, go to: https://techcet.com/product/2023-cmc-seminar-presentations/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


October 31, 2023

US Chip Expansions in Need of Significant Materials Support

Domestic chemical demand to leap through 2027, though supply is not prepared

San Diego, CA, October 31, 2023:  TECHCET — the electronic materials advisory firm providing market and supply-chain information — is forecasting US demand for the bulk chemical sector to increase by a combined 75% by 2027. The anticipated demand jump is due to announced fab expansions coming on-line and increasing wafer start capacities. Over the next 4 years, TECHCET is expecting domestic wafer start capacity to potentially jump to 46M in 2027, a 35% increase from the 34M wafer starts expected in 2023. As these wafer start numbers increase, material demands will escalate creating a gap in the supply needed to support the industry, as demonstrated in TECHCET’s Special Report on The Impact of Chip Expansions on US Chemical Supply Chains.

While the movement to more advanced devices will have a strong impact on US material requirements, recent announcements indicate that legacy (mature) node manufacturing will also drive domestic materials demand. Advanced node device manufacturing (14nm and smaller nodes) will drive an increase in high purity requirements, in addition to volume growth.

Over the 2023-2027 forecast period, domestic chemical production capacity is expected to increase slightly, but not enough to cover all chemical needs. Building a chemical plant to support semiconductor quality chemicals typically takes 2-3 years to come online, so there may be shortages experienced as soon as 2024 if new capacity is not running. Currently, imports cover a significant portion of the overall US wet chemical demand, especially for ultra-high purity (UHP) quality wet chemicals. If domestic supply is not ramped to support expansions, this reliance on imports will grow, creating vulnerabilities and ancillary issues for the domestic semiconductor supply chain.

H2SO4, IPA, HCl, HF, HNO3, and H3PO4 will all need boosts in domestic supply in order to meet demand from new fabs. Kanto/Chemtrade ha announced plans to expand on H2SO4, however, the timing of plant build and production is yet uncertain. Currently, UHP material is largely supported by qualified suppliers in Taiwan. Details on anticipated gaps in supply and volume requirements by purity grade can be found in TECHCET’s report on the “Impact of Chip Expansions on the US Wet Chemical Supply Chain” here: https://techcet.com/product/impact-of-chip-expansion-on-us-chemical-supply-chain-2/.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


October 12, 2023

Growing Supply Chain Risks for Germanium and Gallium

How can the US stabilize Ge/Ga supply to support high semiconductor demand?

San Diego, CA, October 12, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — has uncovered a significant supply chain risk for germanium and gallium for the global semiconductor market. Both germanium and gallium are critical metals essential to producing RF and sensor devices, 5G, IT communications, and automotive applications. China is the world’s leading producer of refined germanium and gallium, which has increased risk for the US semiconductor supply chain. Global supply risks have been further amplified by recent announcements from China regarding export permit requirements for both metals, as explained in TECHCET’s new Report on Germanium and Gallium Supply Chain Risks. TECHCET’s new Report on Germanium and Gallium Supply Chain Risks.

While there are other reserves and capacities for germanium and gallium outside China, producing viable material would require significant investment and time. Any reduction in gallium supply from China over the next 1-3 years poses an issue for the US. China primarily dominates the refining resources required to produce these materials, and the ore emanates from other non-US sources, as shown in the Figure above on germanium.

Ramping up production and capacity for germanium and gallium within the US would help to stabilize the supply of these much-needed metals. However, mineral and chemical companies within the US face various hurdles in justifying the investment in new capacity for these materials. For example, chemical companies in China benefit from support through free or tax-free land, government money, and loans for building plants. US chemical companies do not have these benefits and are burdened with more expensive labor and power costs than offshore alternatives. This results in less willingness for companies to independently expand capacity within the US, indicating a high need for government support or improved trade relations with outside sources.

In the short term, improved trade relations are tantamount to a continued supply of these critical materials. Since building a refining or mining operation requires several years to become productive, it may be wise for the US to cultivate better trade relations with China to ensure current supply needs, while also developing other supply sources from allied nations or domestically within the US. Operating parallel paths would help reduce risk in the short term while working on a longer-term solution to minimize risks to the overall US chip manufacturing supply chain.

For more details on Germanium and Gallium supply chain dependencies and risks, go to: https://techcet.com/product/germanium-and-gallium-supply-chain-risk-executive-edition-single-user-license/.

To discuss more on the supply chains for germanium, gallium, and other semiconductor materials, come talk to TECHCET at the CMC Seminar in Taichung, Taiwan on October 25th. For more information and to register, go to: https://cmcfabs.org/2023-cmc-seminar/.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


September 27, 2023

Semiconductor Supply Chain Problems Running Rampant?

Solutions to mitigate future materials supply vulnerabilities

By Lita Shon-Roy, MS/MBA, and Sachi Brown, TECHCET CA

Over the past 2 to 3 years, the semiconductor industry has faced extreme pressure to meet growing consumer demand for an abundance of everyday electronic products like cars, smartphones, and computers. This pressure has only been amplified by various supply chain issues stemming from the raw material sources that are essential to building semiconductors. These material dependencies are easy to overlook since they reside in the sub-tier of the semiconductor market, hidden from direct view of what is sold to chip fabricators and consumers. TECHCET, a leading materials supply chain analysis firm, has consistently worked to uncover many of these dependencies, such as for fluorspar, neon, and helium. These materials play an essential role in the supply chain lifeline to the semiconductor industry and require expertise to identify, qualify, and track for the efficient forward movement of the market.

With recent chip shortages, various producers around the world have announced plans to invest in chip expansions that total more than US$500B over the next five years. For the US alone, this equates to an increase of >45% in semiconductor wafer starts by 2026. While this sounds hopeful for resolving chip deficiencies, it still does not address one key weakness: material shortages. As the industry expands, the risk of complications to the semiconductor supply chain grows, elevating the importance for material supply chain tracking and analysis.

Sulfuric acid is one example of an essential material that would put the semiconductor supply chain at risk if its supply is not properly managed. Fortunately, TECHCET has identified a >50% increase in demand for US sulfuric acid by 2026 to help key chip fabs prepare for expansions. TECHCET consistently provides key metrics related to supply and demand to the Critical Materials Council (CMC), a consortium formed in the mid-1990’s made up of chip fabricators and material suppliers. The Council also provides feedback to TECHCET to direct their ongoing supply chain analysis work. Identifying materials-related disruptions, dependencies, and weaknesses within the supply-chain, are all key elements of TECHCET’s focus and benefits to the CMC subscriber members.

In recent years, material shortages from the Russia-Ukraine conflict and COVID-19 have proven to be high stress points for chip fabricators and material suppliers. For example, neon gas faced shortages at the onset of the Russia-Ukraine war, threatening the stability of semiconductor production and causing high anxiety among chip fabs. At the time, it was unknown how much the US and Asia relied on Ukraine for neon supply. TECHCET managed to uncover various dependencies on Ukrainian neon from different regions around the world, helping major chip companies re-evaluate and better stabilize their supply chains. During the COVID pandemic, sporadic and extreme ocean freight roadblocks also contributed to slowdowns in chip manufacturing. In response to these disruptions, CMC subscriber companies met with logistics and shipping port officials to improve mitigation strategies for further supply interruptions.

CMC member subscribers also gain insight into supply chain challenges from the CMC Seminar. The next one will be hosted in Taiwan (October 25) and will focus on current problems in the materials supply chain and future quality requirements. This event is one of several that brings conversation on supply issues to the forefront. These events connect the entire semiconductor ecosystem by providing essential information on critical materials needed by decision makers at chip fabricators, suppliers, and government. The current CMC chip fab subscribers include more than a dozen of the world’s largest chip makers. (Reference: https://cmcfabs.org)

Given the massive impact semiconductors have in our digital global society, there is a growing and persistent need to manage the coming supply-chain issues, especially with expectations for chip volume to sharply ramp come 2025-2026. Looking into the future, TECHCET and the CMC will continue to facilitate coordination among key players in the materials and chip industry to navigate what lies ahead.

For more information on TECHCET: https://techcet.com or https://cmcfabs.org/2023-cmc-seminar/.

Lita Shon-Roy is President/CEO of TECHCET CA LLC, an advisory services firm expert in market analysis and business development of electronic markets and supply-chains for the semiconductor, display, solar/PV, and LED industries.

Sachi Brown is the Marketing Specialist of TECHCET CA LLC, in charge of marketing communications.

Back to top


August 31, 2023

Metal Plating Chemicals Revenues to Boost into 2024

Growth driven by developments in leading edge logic and memory

San Diego, CA, August 31, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — reports that revenues for the Semiconductor Metal Plating Chemicals market will rise to USD $1,047M in 2024, a 5.6% increase from the forecasted USD $992M for 2023. The largest revenues for 2024 are forecasted for copper plating chemicals used for device-level interconnect and advanced packaging wiring, as explained in TECHCET’s newly released Metal Chemicals Critical Materials Report™. The 5-year CAGR’s for 2022-2027 are expected to remain on an upward track, with 3.5% growth for advanced packaging and 3% for copper device interconnects.

“Increased usage of advanced packaging, redistribution layers, and copper pillar structures are all factors contributing to the growth of the metal chemicals market segment,” states Dr. Karey Holland, Chief Strategist at TECHCET. Leading-edge logic and memory wafers are beginning to grow at a faster pace than legacy nodes, influencing a higher need for advanced packaging and increased metal layers. The fastest growing segment of advanced packaging is for fan-out wafer-level packaging (FOWLP), which will help boost growth in RDL plating applications.

TECHCET is not currently expecting new players in plating chemicals, however it would not be surprising if new players spring up in China to support their own domestic market. The introduction of Ru or Mo to displace the Ta & Co barrier layer at the GAA nodes is possible. Ru or Mo (ALD or CVD, not plating) will also possibly fill the interconnects & vias between M0 to M3 metal layers for Advanced Logic.

A potential risk factor for the metal chemicals market is increased lead times and price increases for electronic chemicals. Fabs and plating chemical suppliers are not reporting any difficulty obtaining metals for semiconductor plating in 2023, however shortages may occur in the future. Geopolitical tensions with China, for instance, may hinder availability of tin that is mined there. Similarly, nickel imported from Russia and Ukraine may face supply constraints.

For more details on the Semiconductor Metal Plating Chemicals market & supply chains, including profiles on companies such as BASF, Dupont, JX Nippon, Chang Chun Group, and more, go to: https://techcet.com/product/metal-chemicals-for-fe-advanced-packaging/.

To discuss more on the supply-chains for metal chemicals and other semiconductor materials, come talk to TECHCET at the CMC Seminar in Taichung, Taiwan on October 25th. For more information and to register, go to: https://cmcfabs.org/2023-cmc-seminar/.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription services, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


August 22, 2023

Silicon Fabricated Parts Market Forecasting High Growth

Increased layer technology and OLED growth driving demand for silicon parts

San Diego, CA, August 22, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting revenues for Silicon Fabricated Parts to decrease by 5% in 2023, reaching a total of US$856 million. This slowdown is due to overall downtrends within the semiconductor industry, alongside lower numbers of wafer starts. TECHCET is forecasting a sharp rebound of near 11% for the Silicon Parts market in 2024, as explained in the newly released Silicon Parts Critical Materials Report™.

Silicon parts purchases from OEMs for new etch and deposition tools grew in 2022 to make up about 50% of all sales. This increase in OEM purchases was due to higher demand for equipment. OEM tool sales are expected to ease over the next 2 years as demand from chip fabs for spare parts increases.

Over the past decade, global polysilicon production capacity has ramped significantly, from 30,000 or so metric tons in the 2000s, to almost 700,000 metric tons currently. However, demand for silicon parts continues to grow steadily, requiring even more additional capacity needs. Beyond 2023, supply tightness for silicon parts is currently expected as etch and deposition steps increase for 3DNAND and leading-edge logic devices. Additionally, strong growth in the OLED market may cause supply chain problems for silicon parts equipment. Semiconductor equipment companies may consider buying parts in advance to alleviate future supply chain bottlenecks.

Higher pricing and lead time issues may also occur without silicon parts capacity increasing. As long-term purchase agreements expire, the price of raw materials has gone up for major suppliers. Additionally, inflation, logistics, and labor shortages will all play a role in the silicon parts segment going forward.

For more details on the Semiconductor Silicon Parts market & supply chains, including profiles on companies such as FerroTec, Coorstek, Tosoh, Mitsubishi Materials, Sungrim, HANA Materials, and more, go to: https://techcet.com/product/silicon-equipment-components-research-report/.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription services, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


August 10, 2023

Semiconductor Sputtering Targets & Metal Markets Status

Supply chain contraction will persist before rebounding in 2024

San Diego, CA, August 10, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — states that the Semiconductor Sputtering Target market will contract to US$1.29 billion in 2023 due to the industry-wide slowdown and lower wafer starts. This decline comes after a strong year in 2022, which grew 8% over 2021 to reach US$1.34 billion. TECHCET is forecasting increased wafer starts in 2024, which will grow the targets market to US$1.39 billion, as described in TECHCET’s new Sputtering Targets Critical Materials Report™.

“The metal ore supply chain for targets is reported to be stable, though it must adapt to higher costs for metal and other process materials,” states Dan Tracy, Sr. Director at TECHCET. In addition, higher energy costs globally continue to impact the mining, smelting, and refining segments of metal supply chains. Many key metals and raw materials also have a critical reliance on sources in China, which has resulted in geopolitical concerns when it comes to supply chain management and planning for metals. With the expected ramp of new fabs in the US, target suppliers are expressing interest in alternative high-purity metal sources from within the US.

In the mid and longer term, “green energy” and “zero emission” objectives will greatly increase demand for Copper, Silver, Tungsten, Cobalt, and other metals. New mines will need to come online to meet this forecasted metal demand, with timelines being 10 years or more for mining exploration to production. Given restrictions and regulations for mining in the West, new mines will likely be located and developed in nations with less stringent mining regulations. This could thwart efforts in the semiconductor supply chain to achieve sustainability targets. Recycle, reclaim, and reuse will also be critical components of future metal supply chains to meet goals for sustainability and zero-emissions.

On the application side for targets, multiple processing layers associated with advanced node logic devices and 3D memory will accelerate PVD target demand with upper layers. Alternative interconnect metallization is expected for 7 nm and below, with increased use of non-PVD (ex. CVD/ALD) for M4 and below. Though, 3D interconnect techniques at advanced logic nodes will result in metallization requirements for back-side Power Distribution Network. This network delivers power by Through Silicon Vias (TSV) to buried power rails based on copper metallization. Growth will also rise for power devices, including SiC device technology, leading to demand for Al, Ti, and backside metallization target applications.

For more details on the Semiconductor Sputtering Target markets & supply chains, including profiles on companies such as Honeywell Electronics Materials, Grikin, JX Metals Corporation, KFMI, Linde, Materion, Tosoh SMD, and more, go to: https://techcet.com/product/sputter-targets/.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription services, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


July 26, 2023

Materials “Sweet-spot” – ALD/CVD Precursor Supply Chain

Mo may replace HVM applications, which could reduce future WF6 supply strains

San Diego, CA, July 26, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — has announced that Semiconductor Precursor revenues are continuing to see high growth, with 5-year CAGR’s of 7% for metal, 5% for high-k, and 8% for dielectrics. Growth is influenced by the increasing interest and need for new materials for ALD and CVD processes. Device producers continue to look for new manufacturing solutions designed to improve cost and performance, and ALD/CVD solutions are at the forefront of such efforts.

Tungsten (from WF6 precursor) usage is highly driven by vertical scaling of 3DNAND and increased wafer start numbers in all segments. WF6 supply/demand is expected to stay in balance through 2023. However, TECHCET is anticipating supply of WF6 to become constrained by 2025 with threat of shortage in 2026 (as shown below). Possible shortages could be mitigated if molybdenum (Mo) solid precursors start replacing WF6 and transition from R&D to HVM. Implementation of Mo is still uncertain as fabs will need to see cost and performance equal to, or better than WF6, as indicated in TECHCET’s new ALD/CVD Precursors Critical Materials Report™.

Other critical areas of technology change for improved devices include new materials for transistors such as high-κ gate dielectrics, metal gate electrodes, strain/stress epi of the channel and channel materials, memory cells and high-κ capacitors, interconnect wiring, barriers, seed layers, capping, insulators, and photolithography (with associated patterning techniques). Emerging challenges are driven by continued dimensional scaling addressed with materials (e.g., Hf, Zr, La, Co, Ru, Mo), and processes (e.g., ALD and plasma-assisted methods. For more details on ALD/CVD Precursor market trends, supply-chain issues, and supplier profiles on Adeka, Air Liquide, Entegris, EMD Electronics, Matheson Gases, Linde, Nanmat, Yoke, SK Materials, and more, go to: https://techcet.com/product-category/ald-cvd-precursors/.

To discuss materials including ALD/CVD Precursors, talk to us at the ALD 2023 Conference in Bellevue, Washington on July 23-26. Karey Holland, Chief Strategist at TECHCET, will be there to talk materials. Please email us if you are interested in setting up a time to meet.

New reports are out now for ALD/CVD Precursors, Gases, CMP Consumables and Ancillaries, Photoresist, Silicon Wafers, Wet Chemicals, and Quartz Equipment Components. To learn more, go to: https://techcet.com/product-category/critical-materials-reports/.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription services, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


July 20, 2023

Silicon Wafers Supply Swinging Back to Positive for 2023

Revenues for SOI Wafers remain stable, with high growth forecasted ahead

San Diego, CA, July 20, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting a -7% decline for Total Silicon Wafer shipments for the 2023 year due to overall slowdowns in the semiconductor industry. These slowdowns in combination with wafer inventory build has reduced strains on wafer supply/demand in 2023 and allowed for a net positive supply-demand balance. In 2024, total wafer shipments are expected to rebound and grow about 8%, as highlighted in TECHCET’s newly released Critical Materials Report™ on Silicon Wafers.

Previous supply/demand imbalance for 300 mm wafers has been corrected given reduced wafer demand in 2023. Suppliers report high inventory levels, given the industry slowdown.

Through the forecast years, both epitaxial and SOI wafers expect strong growth. 300 mm epi is becoming more critical in advanced logic applications, and shipments are forecasted to grow at a CAGR of 6% through the 2027 forecast period.

All of the top five wafer suppliers (SEH, Sumco, GlobalWafers, Siltronic, and SK Siltron) have announced new greenfield expansion plans, which will lead to ramps in capacity beginning in 2024 and into 2025. However, it is known that some of the expansion projects are being pushed out in the current market environment. Depending on market conditions and the status of Long-term Agreements (LTA), suppliers are expected to ramp capacity in additional phases post-2025 as well. China suppliers also continue to invest to establish a position in the 200 mm and 300 mm wafer segments.

In the longer term, TECHCET is forecasting supply/demand imbalance to stabilize in 2024 and tighten once again in 2025. Into 2026, TECHCET is anticipating another slowdown and subsequent reduction in supply tightness as the natural course of inventory builds. For more details on Silicon Wafers market trends, supply-chain issues and supplier profiles like Eswin, GlobalWafers, Sumco, Siltronic, SEH and more, go to: https://techcet.com/product/silicon-wafers/.

New reports are out now for ALD/CVD Precursors, Gases, CMP Consumables and Ancillaries, Photoresist, Silicon Wafers, Wet Chemicals, and Quartz Equipment Components. To learn more, go to: https://techcet.com/product-category/critical-materials-reports/.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


July 12, 2023

2023 Semiconductor Materials Market Slowing but Resilient

Material growth to resume in 2024 as industry recovers and fabs ramp up

San Diego, CA, July 12, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — announced that the Total Semiconductor Materials market will contract by at least -3% in 2023 given the industry wide slowdown and reported higher levels of inventory in the materials supply chain. This year’s decline will result in revenues for Semiconductor Materials to total US$69.6 billion, down from the US$71.7 reported in 2022. However, leading-edge logic and automotive/power device production will limit the overall decline in materials revenues for 2023.

TECHCET is forecasting a strong recovery in the market in 2024, with total material revenues increasing 8% to almost US$75 billion. CAGR growth over the next 5 years is forecasted to be 4%, which will result in the market reaching US$88 billion by 2027.

The 2023 slowdown has corrected material supply constraints across the supply chain. Though, demand for materials will strengthen as the industry recovers and new fabs ramp up globally. Tightness in supply is expected to resume for 300 mm wafers, epitaxial wafers, some specialty gases, and possibly copper alloy targets. The degree of any supply shortages will be a function of material supplier expansion delays. Some expansion projects have been pushed out given the current slow market environment.

Strong market demand in 2024 and beyond will be driven by advanced photoresist and ancillary chemistries for EUV related processing (20% CAGR) and for 3D NAND fabrication (>5% CAGR). Advanced precursor volumes and revenues will soar for new capacitor and interconnect structures in advanced logic and next generation memory. TECHCET also expects the Specialty Gas market to grow by >7% CAGR through the 2027 forecast period.

To find out more: see TECHCET at SEMICON West at NY CREATES Booth (North Hall 5845) from July 11-13. Lita Shon-Roy, Diane Scott, Dan Tracy, and Kevin McLaughlin will all be there to share market insights and industry updates. Or visit our website:https://techcet.com/product-category/critical-materials-reports/. New reports are out now for ALD/CVD Precursors, Gases, CMP Consumables and Ancillaries, Photoresist, Silicon Wafers, Wet Chemicals, and Quartz Equipment Components.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


June 27, 2023

Semiconductor Metal Plating Chemicals Revenues Slowing in 2023

Increases in Interconnect Layers and Advanced Packaging Use to Revamp Growth

San Diego, CA, June 27, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is estimating that the market for Semiconductor Metal Plating Chemicals will reach US$987M in 2023, a 2% decrease from 2022. The decrease in the 2023 forecast is due to lower expectations for the amount of overall wafer starts. Additionally, the decline may be influenced by the overbuying of materials in 2020-2021 and subsequent inventory corrections within the market. The largest revenue within the 2023 metal plating chemicals segment is forecasted for copper, with $373M for copper advanced packaging wiring, and $614M for interconnect copper plating. Despite the current slowdown, the overall 2022-2027 CAGR is expected to be a positive 3.7% for advanced packaging and 3.3% for interconnect metal chemicals, as highlighted in TECHCET’s new Quarterly Update to the Metal Chemicals Critical Material Report™.

.

Current economic environments will likely cause overall semiconductor device production to be reduced until at least the end of 2Q 2023. However, demand for more devices used for electric cars, faster charging stations, stronger data storage, and more applications, are expected to produce higher density and lower power devices in the coming years. Simultaneously, the US Chips Act and similar investments by Europe and China will push these developments along. This will drive increases in metal interconnect layers and advanced packaging use, which should revamp growth in the metal chemical plating market.

TECHCET is following new technologies for metal deposition, such as the introduction of Ruthenium (Ru) or Molybdenum (Mo) (or Vanadium (V) or Iridium (Ir)) to possibly displace the Tantalum (Ta) & Cobalt (Co) barrier layers at the smallest interconnect dimensions for the GAA nodes. Ru or Mo (ALD or CVD, not plating) will possibly fill the interconnects & vias between M0 to M2 metal layers for Advanced Logic. Possible wafer backside connections to the backside power rail will add Copper (Cu) plating to possibly match or exceed the Cu plating lost at the M8-M14 layers.

For more details on Metal Deposition market trends, supply-chain issues and supplier profiles like Dupont, Chang Chun Group, JX Nippon, Moses Lake Industries, MacDermid and more, go to: https://techcet.com/product/metal-chemicals-for-fe-advanced-packaging/ or https://techcet.com/product/sputter-targets/.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


June 15, 2023

Following All-Time Highs of 2022, Semiconductor Quartz Equipment Components Expected to be Down in 2023

San Diego, CA, June 15, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — announced it expects a slight downturn in the Quartz components market, following an all-time high in 2022. Fabricated quartz equipment components revenues reached an estimated US$2.14 billion in 2022, growing 24.5%, above 2021. The huge growth in components was a result of continued demand in new OEM equipment in 1H2022. However, by Q4 2022 and into H1 2023, the industry began down shifting their investments. Luckily, previous 2022 purchases and backlogs are expected to buoy up the components market for the first half of 2023. “Orders in Q1 2023 declined QoQ, and as we move into 2H2023, the components market is expected to slow,” stated Lita Shon-Roy, TECHCET’s President/CEO. Most of the orders from 2022 will have been shipped by 2H2023 and new orders will be down YoY, resulting in an overall -6% decline for 2023, as shown in TECHCET’s newly released Quartz Equipment Components Critical Materials Report™. Despite this decline, new fab expansions are expected to revamp growth in the future, as seen from the 5% CAGR for 2022-2027.

.

The goal of most fabricators during the 2021-2022 time frame was to simply keep making as much quartz product as possible with existing production facilities. “Solving production and logistic issues, hiring and training new personnel, and acquiring new production equipment were all pathways that quartz fabricators used to incrementally push up their capacity,” Lita Shon-Roy stated. Now in Q2 2023, the industry has shifted gears from full scale production to planning for fewer purchase orders for the remainder of the year. Though the industry is slowing this year, quartz demand is still expected to ramp in 2024 for newly advanced process nodes, OEM needs, and legacy requirements.

For more details on the Quartz Equipment Components market trends, supply-chain issues and supplier profiles like Tosoh Quartz, FerroTec, Maruwa, Shin-Etsu, Heraeus, QSIL, Feilihua, DSTechno, Kumkang Wonik and more, go to: https://techcet.com/product/quartz-equipment-components/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


June 6, 2023

CMP Consumables Facing Market Correction after Strong 2022

Advance logic developments drive new CMP consumables opportunities

San Diego, CA, June 6, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting a -2.4% reduction in the 2023 CMP Consumables market composed of pads and slurry following strong performance in 2022. This slight drop is the result of an oversupply in DRAM and general corrections within the market. Despite the drop, the CMP Consumables market is expecting an overall upward 5-year CAGR of 5.2%, as mentioned in the the newly released CMP Consumables Critical Materials Report™. During this period, CMP Consumables for copper, tungsten, and oxides will continue to represent most of the market. The largest growth rate in demand over the next 5 years is expected for new metals (Co, Mo, and Ru) pads and slurry combined.

.

Developments in new methodologies like GAA/Nanosheet, ForkSheet in logic are driving a new set of challenges for thinner layers and better thickness control. Additionally, new BEOL materials, such as cobalt, ruthenium, molybdenum are being evaluated to replace W and some minimum dimension Cu interconnects levels. Because of this, new CMP consumables are required to process these materials.

Device makers are continuing to look for ways to reduce consumables costs. In the current market climate with high inflation rates and the high degree of customization, there is little chance that prices will go down. Possible supply chain disruptions due to geopolitical events in China and Russia could also cause delays and price increases as suppliers work to qualify alternate sources of supply for raw materials that they were importing from these countries. Despite these hurdles, there could be an upside for CMP consumable suppliers as “local” equipment suppliers gain traction since they will need to develop process recipes, which could result in an increase in consumables demand.

For more details on the CMP Consumables market segment and growth trajectory, including profiles on suppliers like 3M Company, Anji Micro, Asahi Glass, Ace Nanochem, JSR, Fujifilm, EMD Electronics, DuPont and more, go to:
https://techcet.com/product/cmp-slurry-and-pads-only/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


June 1, 2023

Electronic Gases Demand to Increase as Semiconductor Fab Expansions Move Forward

Critical gases like B2H6 and WF6 may face supply constraints

San Diego, CA, June 1, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting an upward 5-year CAGR of 6.4% for the electronic gas market, as indicated in the newly released Electronic Gases Critical Materials Report™. This positive forecast in electronic gases is primarily due to expansions within the semiconductor industry, with leading-edge logic and 3DNAND applications being the most impactful to growth. As ongoing fab expansions come online in the next few years, additional supply of gases will be necessary to accommodate demand, hence upping market performance for gases. In the US, there are currently six major chipmakers planning to build new fabs: GlobalFoundries, Intel, Samsung, TSMC, Texas Instruments, and Micron Technology.

.

Supply constraints for electronic gases may appear as demand increases are expected to outpace supply. For example, diborane (B2H6) and tungsten hexafluoride (WF6) are both critical for manufacturing various types of semiconductor devices such as logic IC, DRAM, 3DNAND memory, flash memory, and more. Because of their critical role, they are expected to see rapid demand increase as fabs ramp up. Some Asian suppliers are now taking the opportunity to fill these supply gaps within the US market.

Disruptions in gas supply from current sources have also heightened the need to bring new gas suppliers into the market. Ukrainian suppliers of crude neon, for example, are currently no longer functional due to the Russia War, and may be out permanently. This has placed a severe constraint on the neon supply chain that will not be alleviated until new supply sources comes online in other regions.

“Helium supply is also at high risk. The US BLM transferring ownership of helium stores and equipment may interrupt supply as equipment may need to be taken offline for maintenance and upgrades,” states Jonas Sundqvist, Senior Analyst at TECHCET. Unfortunately, there has been little to no new helium capacity built over the past year, with the exception of Russia, to make up for future demand growth and any supply chain disruptions. Russia’s capacity continues to be questionable given the war. Additionally, TECHCET is currently anticipating potential shortages for Xe, Kr, NF3, and WF6 over the next few years unless additional capacity is brought on line.

For more details on the Electronic Gases market segment and growth trajectory, including profiles on suppliers like Adeka, Air Liquide, Entegris, Linde, TNSC, and more, go to: https://techcet.com/product/gases/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


May 24, 2023

Semiconductor Chemical Revenues Fall as Energy Prices Rise

Wet Chemical market decline follows wafer start slowdown

San Diego, CA, May 24, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting 2023 semiconductor wet chemical revenues to hit $5.2B, a 2% decrease from 2022. This forecast follows wafer start trends, which are also expected to decline by about 3% in 2023. The slowdown is also attributable to rising energy prices and instability from the Russia-Ukraine war, as highlighted in TECHCET’s newly published Wet Chemicals Critical Materials Report™. The strongest of the wet chemicals segments is from phosphoric acid, due to growth in the 3DNAND market. The overall market is forecasted to rebound in 2024, with the 2022-2027 CAGR being 3.9%, as shown in the graph below.

.     

“2023 is teaching us that a holistic approach to the chemical supply chain is required to stay competitive,” states Dan Tracy, Sr. Director at TECHCET. Tracy added, “to be successful in the wet chemicals market, one must be actively involved in all stages of the supply chain.” For example, shortages due to refinery shutdowns affects the cost and availability of multiple chemicals such as IPA and sulfuric acid. Additionally, shortages of fluorspar affect the availability and cost of HF and BOE.

Chemical price increases have occurred due to higher pricing and restrictions of natural gas and petroleum used for power in chemical manufacturing. For example, costs of European electronic chemicals have increased due to rises in the cost of natural gas. Although these natural gas costs have come down from its highs of 2022, chemical prices still remain higher than before the Russia war. Similar trends have been observed in other chemical manufacturing regions over the past two years.

For more details on the Wet Chemicals market segment and growth trajectory, including profiles on suppliers like BASF, Chemtrade, Dupont, Eastman, Fujifilm, and more, go to: https://techcet.com/product/specialty-cleaning-chemicals/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


May 16, 2023

ALD/CVD Precursors – Better Times Ahead

Market expected to rebound with memory pricing recovery

San Diego, CA, May 16, 2023:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting semiconductor precursor revenues, both for high-ƙ metal dielectrics and low-ƙ dielectrics, to increase in the 2nd half of 2023, rebounding from the current 0% growth rate. The current market flattening is due to reduced memory pricing in production (DRAM and 3DNAND), as explained in TECHCET’s ALD/CVD Precursors Critical Materials Reports™. In 2027, TECHCET expects the revenues of both the high-ƙ and low-ƙ dielectric precursors to rebound significantly, reaching ~19% growth, as shown in the graph below.

The market is forecasted to also rebound from the current wafer start downturn in 2024. With expansions in 2nm and 3nm logic devices, logic wafer starts below 45nm can reach >7% CAGR in 2027. Additionally, logic growth using more mask layers will drive the demand for metal and dielectric precursors related to patterning and low-k. DRAM is also undergoing a transition to EUV (ALD/CVD hardmasks). Continued scaling of 3DNAND by all global fabricators to above 352-368 layers (using four stacks) continues to move even higher, with expectations of >500 layers by 2030. This also continues to drive the need for dielectric stack deposition, high aspect ratio etch (RIE), and deposition (ALD).

Full implementation of High-k/Metal Gate is driving demand for hafnium precursors as well. This has led to continued supply chain issues for hafnium, especially from major surges in aerospace industry demand. While many other strategic metals and rare earths used for semiconductor production primarily rely on China, hafnium does not. “China currently produces hafnium to satisfy its own demand, and demand from the West is met by production from France, the US, and ongoing expansion in Australian mining operations in the New South Wales Dubbo project,” says Jonas Sundqvist, Senior Technology Analyst at TECHCET.

For more details on the Precursor market segment and growth trajectory, including profiles on suppliers like Adeka, Air Liquide, Entegris, Hansol Chemical, and more, go to: https://techcet.com/product-category/ald-cvd-precursors/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


May 9, 2023

Silicon Carbide (SiC) Wafer Supply Gets Squeezed

Growing Demand Persists as Silicon Wafers Reach Its Limits

San Diego, CA, May 9, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains —is forecasting continued strong growth for silicon carbide (SiC) wafer through 2023, despite the slowdown in the general global economy and other semiconductor materials markets. In 2022, the SiC N-type Wafer Output Market grew ~15% over 2021, totaling 884k wafers (150 mm equivalent), as highlighted in TECHCET’s new Silicon Carbide Wafers Materials Report. This market is expected to grow even further in 2023, reaching 1072k wafers (just over 1 million 150 mm equivalent) growing ~22% over 2022, as shown below. The overall 2022-2027 CAGR is estimated to be approximately 17%.

High demand for SiC wafers comes as a result of silicon-based power devices approaching its physical limits, particularly for high-speed or high-power applications. Wide bandgap semiconductors represent the most promising of the current alternatives, and SiC is at the forefront in terms of both materials properties and supply chain maturity. Additionally, demand from electric vehicles, charging infrastructure, green energy production, and more efficient power devices in general is pushing demand higher for SiC.

While SiC is growing increasingly popular, chemical properties of the material have made it difficult to process boules into actual wafers. This has led to undersupply in the SiC wafer market. In an effort to increase boule supply over the past several years, a significant number of companies entered into or announced major expansions in SiC boule growth capacity, but very few companies have actually entered the wafering services market.

Some of this gap is being addressed by the vertically integrated SiC device companies, such as Wolfspeed, ON Semiconductor, and ST Microelectronics, who are able to balance their own production capacities internally. Other companies are attempting to address the gap by offering process services, such as X-trinsic and Halo Industries.

For more details on the Silicon Carbide (SiC) Wafer market segment and growth trajectory, go to: https://techcet.com/product/silicon-carbide-wafers/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


April 13, 2023

US CHIPS Act- Opportunities and Issues for the US Semiconductor Industry

By Dan Tracy, Sr. Director, TECHCET

San Diego, CA, April 13, 2023: Countries around the world view semiconductor manufacturing as vital for economic growth and national security, as devices power all aspects and sectors of the global economy. Across the globe, politicians, government officials, and semiconductor industry leaders deem to revitalize local semiconductor manufacturing through government-initiated programs and subsidies to strengthen or re-shore domestic semiconductor manufacturing. Some examples are shown in the table below.

Country/Region Government Initiative
United States US Chip Act: US$52B program, plus local and state programs
Europe European Chips Act: proposed €43B. Proposed though not finalized
Japan $2.8B; up to 1/3 for chips (power, controllers, analog); up to ½ for raw materials
South Korea Korean Chips Act: financial, regulatory, and tax incentives totaling 340 T Won (~US$259B)
China US$1.4T pledged for over 5-10 years

 

For the US, the current CHIPS Act is reminiscent of initiatives in the late 1980s to launch SEMATECH, a partnership between the government and a number of US chipmakers, to counter the growing dominance to device makers in Japan. The SEMATECH initiative was successful in re-establishing the prominence of the US domestic chip making industry; however, globalization and outsourcing/foundry opportunities resulted in strong industry investments across Asia since SEMATECH was launched.

As such, it is estimated that the US chip fabrication global share declined from about 37% in 1990 to about 10% to 12% by 2020. While the share has declined, US semiconductor production still entails 2.3 million Wafer Starts per Month capacity (200 mm equivalent) spread over 27 300 mm production lines; roughly 40 200 mm production lines; and numerous specialty lines producing MEMs/sensors and optoelectronic components.

So, while there is a sizeable chip manufacturing base in the US, politicians and industry leaders seek to revitalize and restore the prominence of chip fabrication in the US given the declining market share and strategic importance of the industry. Plans for such have been in the works since 2019, under the name CHIPS for America Act, and have garnered, for the most part, bipartisan political support. The lawmaking process does involve back-and-forth and negotiations, so took some time for the legislative process to produce a final bill.

The US CHIPS Act signed into law by President Biden in August 2022. The signed CHIPS Act includes $39 billion in tax benefits and other incentives for companies, including non-US headquartered companies, to build new chip manufacturing plants in the US. The CHIPS Act is a 5-year funding program that includes the following:

  • For fiscal year 2022, US$19 billion has been allocated, “including the US$2 billion for legacy chip production funding. US$5 billion each year from FY23 through FY26.”
  • “Also US$11 billion appropriated over 5 years for R&D and workforce development programs, and US$2 billion appropriated for on-shore, university-based prototyping, lab-to-fab transition of semiconductor technologies, etc.”

Of interest and concern to companies receiving CHIPS Act support is Section 103 of the legislation, which “stipulates that recipients of Federal incentive funds are prohibited from expanding or building new manufacturing capacity for “certain advanced semiconductors in specific countries that present a national security threat to the United States.” This stipulation has raised concerns and issues concerning possible recipients that currently have manufacturing in China. This is a subject of on-going negotiation between companies and governments with US officials.

With all of that stated, various US government departments and agencies worked on implementing a plan and have rolled out the programming for companies to apply for funding support. The first phase of funding occurred in February of this year and is targeted for device makers. The second phase is expected to roll out by May and will be open to supply chain participants, such as semiconductor material suppliers.

The implementation of the CHIPS Act has generated considerable interest across the industry and has spurred numerous investment announcements over the past couple of years and has already resulted in construction and other activities to move projects forward. The below map highlights some of the major fab announcements and locations across the US. Projects include new fabs being built by TSMC and Intel in Arizona. Intel has longer term plans for fabs in Ohio. In addition, Texas Instruments is constructing a new fab in Texas and announced plans for a new one in Utah. Samsung will also invest in Texas. Micron has plans for new fabs in Idaho and New York.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


March 30, 2023

New Global Semiconductor Packaging Materials Outlook – US$26 Billion market to approach US$30 Billion by 2027

San Diego, CA, March 30, 2023:  TECHCET and TechSearch International, Inc. recently announced that the market for Semiconductor Packaging Materials totaled US$26.1 billion in revenues for 2022, and is forecasted to approach US$30 billion in 2027. For 2023, packaging materials are expected to decline about -0.6% given the forecasted slowdown in the overall semiconductor industry. Recovery is expected in the second half of 2023, pointing to growth in 2024 that should increase packaging revenues by 5% that year, as indicated in the recently completed 10th edition of the Global Semiconductor Packaging Materials Outlook (GSPMO).

The GSPMO Report is a comprehensive market research study covering key segments of the semiconductor packaging materials market. Available for purchase exclusively through SEMI, this materials report includes a comprehensive look at the market size and growth trends of key packaging materials including substrates, lead frames, bonding wire, encapsulation materials, underfill materials, die attach, solder balls, wafer level package dielectrics, and wafer-level plating chemicals.

Beginning in 2020 through the pandemic, packaging materials experienced strong unit and revenue growth. Changes in end market demand coupled with strained supply chains and logistic constraints boosted pricing for materials across the supply chain. Higher raw material costs, rising energy prices, and soaring logistics costs pushed material suppliers to raise prices, which were passed on to customers. In addition, many material segments were constrained in terms of available production capacity. Being squeezed on rising costs, numerous suppliers limited their capacity related investments. Additionally, as demand rose during the pandemic, supply chain and logistics constrained how rapidly suppliers could expand capacity as available manufacturing equipment was also limited.

The trend in rising material prices was a complete reversal of more than a decade of price reductions, much due to pressure from device makers and OSATs. “Cost-down” became a mantra that limited material suppliers in terms of investments in capacity. These demand driven price increases boosted growth to over 15% in packaging material revenues in 2020, followed by >20% growth in 2021. As long as raw material and energy costs remain high, and the suppliers remain prudent in their capacity expansion plans, current prices are expected to hold.

Wafer-level packaging, flip chip packaging, and heterogeneous integration, including System-in-Package (SIP) form factors are key drivers in new materials development and consumption. For WLP, the largest volume application remains mobile electronics, though other applications are growing, including automotive. Growth in flip chip interconnection remains strong for high performance computing, high frequency communication and other applications, with copper pillar interconnect technology being increasingly used.

The information contained in this report was developed by TECHCET and TechSearch International. The report is available for purchase through www.semi.org: https://semi.org/en/products-services/market-data/packaging-materials-outlook

To discuss the Packaging Materials market and other critical materials needed for chip production, don’t miss the 2023 CMC Conference in Austin, Texas from April 18-20 on “Are Critical Materials for Chip Expansions Ready for Launch?” To register and for more information, visit https://cmcfabs.org/2023-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


March 22, 2023

Electronic Gas Markets Show Minor Contraction on the Heels of Solid Growth

San Diego, CA, March 22, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — reports that the Electronic Gases market segment grew over 8% in 2022, reaching US$6.8 billion in global sales. Going into 2023, TECHCET is expecting a slight contraction of -2% in Electronic Gas revenues due to a slowdown in the overall semiconductor industry, at least through the first half of the year. Growth is expected to return in 2024 and will continue through 2027 with a 6.3% 5-year CAGR reaching US$9.2 billion, as highlighted in https://techcet.com/product/gases/ TECHCET’s most recent update to the Electronic Gases Critical Materials Report™..

Tungsten Hexafluoride (WF6) and Nitrogen Trifluoride (NF3) specialty gases have both faced supply shortages over the past several years. These gases both serve essential purposes in semiconductor manufacturing, as WF6 is used for depositing the W interconnect in NAND memory, and NF3 is the key gas used in the cleaning of process chambers. The supply chains of both gases are expected to continue facing constraints once new chip factories ramp in 2025-2026. By 2024-2025, TECHCET expects a supply/demand imbalance for both gases given limited capacity.

Another gas on TECHCET’s “watch list” is Helium supply, which has been intermittently running short of demand for the past decade. The US BLM’s equipment interruptions and anticipated change of ownership concerns have caused unexpected interruptions of helium supply. Over the past year, the Russia War has further compounded helium supply-chain concerns as Gazprom’s plan to supply 26% of the market has been stalled by the war. Although there is a lull in demand this year, given the slow economy and semiconductor downcycle, the market could once again be in shortage if new sources do not come online in the next 12 months. Looking ahead, helium out of Alberta, Canada, could play a vital role in the market. Additionally, France has announced Europe’s first mobile helium recycling unit to be launched. Whether these new sources can come online before shortages start to surface again is unclear at this time.

Neon sourcing has also been a critical issue that TECHCET continues to monitor and assess. For more details on this and other Electronics Gas market segments, including profiles on key suppliers like ADEKA, Air Liquide, EMD, Entegris, Linde, Hansol Chemical, Gelest, Matheson/ Nippon Sanso Holdings, and more, go to: https://techcet.com/product/gases/..

To discuss the Electronic Gases market and other critical materials needed for chip production, don’t miss the 2023 CMC Conference in Austin, Texas from April 18-20 on “Are Critical Materials for Chip Expansions Ready for Launch?” To register and for more information, visit https://cmcfabs.org/2023-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


March 7, 2023

Semiconductor Lithography Materials Trending Upwards

2023 economic slowdown to cause a short-term dip on photoresists & ancillaries

San Diego, CA, March 7, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting the Semiconductor Lithography materials market to dip slightly, declining -2% in 2023, which follows the downward trends of the overall semiconductor market. This slowdown is expected to be short-lived, as 2024 forecasts indicate 9.4% growth over 2023, as highlighted in TECHCET’s most recent update to the Lithography Materials Critical Materials Report™.. The Lithography materials segment, which includes photoresists and ancillaries (developers, ARCs, BARCs, EBRs, etc.), is estimated to grow 4.9% CAGR (2022-2027). The Ancillary materials segment alone is estimated to grow at a 5.1% CAGR over the same period.

The fastest growing lithographic materials continue to be EUV and thick KrF photoresists, both of which are driven by the introduction of new technologies: advanced Logic (EUV) and memory (DUV). Metal oxide (MOX) negative tone EUV resists will also gain traction due to performance capabilities. Older technologies like G & I line are expected to also show growth in proportion to wafer starts, whereas the leading-edge materials will grow at a rate dependent on number of layers for different device types and technology nodes.

Advanced device processes will impact material usage as follows:
• Advanced logic devices will continue to require an increased number of photolithography steps per wafer start, driving for more EUV and increased 193nm (ArF) layers. However, many of the current immersion 193nm (i193nm) process steps are likely to be replaced by EUV, & i193nm related lithography materials will also decline.
• At the Gate-All-Around (GAA), also called nanosheet or nanoribbon transistors nodes, backside power rail will be added which will drive even more litho steps.
• DRAM has also started to use EUV, replacing some ArFi (i193nm) process steps. New DRAM devices are expected to increase overall litho steps per wafer.
• 3D NAND will also continue to add more layers and stacks, increasing the overall number of all process steps, including lithography steps, per wafer.

Other significant trends impacting materials include the focus on the strengthening of in-country supply-chains and chip production. This has started to impact legacy photolithography chemical makers. For example, Chinese companies are emerging as diazo photoresist makers, while Korean lithography material makers are also gaining momentum.

For more details on the Lithography Materials market and growth trajectory, including profiles on suppliers like Avantor, BASF, Brewer Science, DuPont, JSR (Inpria), Chang Chun Petrochemical, TOK, Sumitomo, FujiFilm and more, go to: https://techcet.com/product/photoresists-and-photoresist-ancillaries/.

To discuss the Lithography Materials market and semiconductor process materials issues, don’t miss the 2023 CMC Conference in Austin, Texas from April 18-20 on “Are Critical Materials for Chip Expansions Ready for Launch?” To register and for more information, visit https://cmcfabs.org/2023-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


March 1, 2023

2023 CMC Conference to Host Intriguing Lineup of Speakers

Featuring talks on current trends, issues, and new technologies for the semiconductor materials supply chain

San Diego, CA, March 1, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — brings to the industry an impressive lineup of speakers at the 8th Annual Critical Materials Council (CMC) Conference from April 18-20 in Round Rock, Texas. This year’s conference agenda highlights presentations from chip fabricators, materials and equipment suppliers, parties connected to policy makers, and market research analysts to discuss trends, current issues, and new future technologies in the semiconductor materials supply chains. The honorable Jon Taylor, Corporate VP of Fab Engineering at Samsung Austin Semiconductor, will lead off as the Conference Keynote Speaker followed by a notable list of the industry’s best presenters.

One of this year’s featured speakers will be Corinna Singer, Senior Director of Procurement at Infineon, talking on “Globalization vs. Regionalization and the Impact of Geopolitical Tensions.” Singer will focus on the trend of material supply chains in various regions of the world – namely, why are some growing while others are shrinking? Additionally, Singer will discuss what can be done to counteract this trend. An analysis on the impact of geopolitical tensions on the supply-chain will also be provided, along with lessons learned to better manage supply chains as demand heats up from chip expansions.

Talks this year will also highlight business and technology trends from materials and equipment market analysts from TECHCET, TechIsights and the Yole Group, alongside an array of technical presentations from industry experts. Shivan Ahamparam, Ph.D., Director of Advanced Semiconductor Materials at Air Liquide will speak on such technology trends in her talk, “Unmasking the challenges of Diborane manufacturing and supply.” Given the evolution of diborane, mainly for doping in CVD/Epi applications, there has been a growing need for finer and more reliable control of its use. Ahamparam aims to unveil the challenges that have come up from using diborane, such as being thermally unstable and holding other unique properties, and how it’s use in manufacturing can be improved.

Many other speakers will also be joining Shivan and Corinna at the 2023 CMC Conference. Regina Freed, VP of Alx™ at Applied Materials, will speak on Accelerating Materials Engineering; and Dilan Seneviratne, Ph.D., Director of Substrate Packaging Materials at Intel will speak on Challenges for Advanced Packaging & Materials. The full agenda can be found here: https://cmcfabs.org/cmc-2023-conference-agenda-2/.

The conference will feature 5 main sessions on the following themes: Global Issues & Trends, Advanced Packaging Materials, Immediate Challenges of Materials & Manufacturing, Challenges of Equipment and Component Materials, and Emerging Materials. A special Panel Session on “Universal Challenges to Growth – Data-fication” was recently added that will feature companies from across the ecosystem to give their view of the issues and needs for data connection between and among materials supplier, OEM, and IDM.

For more details on the conference, including the full agenda and registration, visit https://cmcfabs.org/2023-cmc-conference/.

Sponsorship opportunities are still available for the conference! Please email [email protected] for more information.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


February 28, 2023

Semiconductor Wet Chemicals 1H2023 Trending Down

Although 200+ new layer chip offerings are expected in 2023

San Diego, CA, February 28, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting the Semiconductor Wet Chemicals market to slow to -0.9% in growth in 2023, as noted in the most recent update to TECHCET’s Wet Chemicals Critical Materials Report™. This slowdown is following downward trends for the overall global economy as risks of recession and rising inflation continue. Through 2023, the semiconductor materials market is expected to be flat, though positive growth could be seen if average selling prices from last year’s demand hold over to this year. By 2H2023, TECHCET is anticipating growth to return, leaving a forecasted 6.4% 5-Year CAGR from 2022-2027.

TECHCET is estimating wafers starts to also decline by about 5% in 2023. Between 2022 and 2023, NAND flash makers are rolling out approximately 200+ layer chips offerings. Into 2026 and 2027, 4XXL and 5 XXL 3DNAND are also expected to surge.

DRAM is currently undergoing a transition to EUV and full implementation of High-k/Metal Gate. Architectural changes and new patterning technology has also driven the need for new unit processes and new materials. With each such change, there is a growing need for more Chemicals and Wet Clean processes.

Several new material expansions have been announced between the US and Korea that should affect the Wet Chemicals market in the coming future. For example, LCY Group based in Taiwan, who is a key supplier to TMSC, has publicly announced an IPA repackaging and purification in Phoenix. Additionally, Chang Chun Group’s $400M investment towards a H2O2, TMAH, and plating solution facility in Arizona is expected to conclude their phase 1 soon.

For more details on the Wet Chemicals market segment and growth trajectory, including profiles on suppliers like AUECC, BASF, Chemtrade, Eastman, Entegris, Fujifilm, and more, go to: https://techcet.com/product/specialty-cleaning-chemicals/

To get a live update on the Wet Chemicals market and semiconductor process materials issues, don’t miss the 2023 CMC Conference in Austin, Texas from April 18-20 on “Are Critical Materials for Chip Expansions Ready for Launch?” To register and for more information, visit https://cmcfabs.org/2023-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


February 23, 2023

CMP Pads & Slurries to Slump with Overall Semiconductor Market in 2023

Supply chain issues easing but still some delays for sub-assemblies and parts

San Diego, CA, February 23, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting the Semiconductor CMP materials market to decline around 4% for both pads and slurries in 2023. This decline comes after a year of solid growth in the 2022 CMP segment that reached 3.5B USD in revenue, a 9% increase from 2021, as highlighted in the quarterly update to TECHCET’s Critical Materials Report™ on CMP. The coming decline is highly attributable to general slowdowns in the global economy as seen with rising inflation, increases in the cost of living, and lingering effects of global events like the Russian invasion of Ukraine and COVID-19. This decline is expected to rebound after 2023, given the forecasted 4.8% CAGR for 2022-2027, as shown in the graph below.

For CMP slurries, the strongest growth over the 2021-2026 period is for polysilicon and new metals like ruthenium and cobalt. Similarly, CMP pads are expecting the largest revenue growth for polysilicon. CMP pads are also expecting a demand increase for advanced logic and memory products within the next 3 years.

Issues in the supply chain continue to complicate the CMP material market segment. CMP equipment suppliers are seeing shifts to longer lead times by several months on electronic sub-components which slows down the expansion plans of the device maker. Additionally, transportation delays, major price increases for overseas shipments, and warehousing challenges are all resulting in higher costs and quality issues.

By 2025, there could be a potential upside in the CMP market as chip fabricators and material suppliers begin operating in new expansion facilities. Fujifilm, for instance, has invested US$350 million in its US business, which includes CMP products.

For more details on the CMP market segment and growth trajectory, including profiles on suppliers like Dupont, Cabot Microelectronics, BASF, Asahi Glass, Fujifilm, and more, go to:
https://techcet.com/product/cmp-slurry-and-pads-only/

To meet with TECHCET’s market analysts and discuss CMP and other critical materials, don’t miss the 2023 CMC Conference in Austin, Texas from April 18-20 on “Are Critical Materials for Chip Expansions Ready for Launch?” To register and for more information, visit https://cmcfabs.org/2023-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


February 15, 2023

CMP Equipment “Ancillaries” Poised for Growth

Increases Driven by 3DFinFET and X-Stack 3DNAND

San Diego, CA, February 15, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — has announced that the market segment for Semiconductor CMP Ancillaries (pad conditioners, CMP rings, filters, and brushes) will reach US$1.55 B by 2027, growing at a CAGR of 6%. However, the market is expected to decline slightly this year and return to growth in 2H2023. “Increases in revenues experienced through 2021-2022 were the result of increases in equipment demand, replacement parts, and raw material shortages,” indicated TECHCET’s Chief Strategist, Karey Holland, PhD. Looking at 2023, this market is expected to slow by -2.2%, which follows the decline in overall chip demand for the year. Inflation, threat of recession, and rising interest rates, in combination with a growing memory chip inventory from 2022 are all factors leading to reduced demand for this year. TECHCET forecasts this chip demand slowdown to recover by 2024, as shown in the figure below, from TECHCET’s newly released Critical Materials Report™ on the CMP Ancillaries Market. .

“Coming growth for the CMP Ancillaries market segment is largely influenced by the need for more CMP process steps with each new generation of device (both logic and memory),” said Dr. Holland. Right now, FinFET transistors require about 41 CMP steps (for total metal and dielectric CMP steps). 2 stack 3DNAND have about 28 CMP process steps and another 8 additional CMP steps will be added as 3DNAND makers transition to next generation nodes.

Technology developments in new logic nodes like GAA/Nanosheet ForkSheet are adding new challenges to CMP, using thinner layers and requiring better thickness control and new materials. This will place an addition burden on filters and pad conditioners. New materials like cobalt, ruthenium, molybdenum, and zirconium will force fabs to revisit CMP cost of ownership because these new materials and processes will impact the service life and types of materials used for ancillaries, like pad conditioners, filters and brushes. For example, demand for lower surface roughness on dielectric layers as these layers get thinner is driving demand for nano-ceria slurries which may also require new versions of the slurry filters.

TECHCET anticipates there to be more distributed production in regions of high growing demand to help offset any future availability delays with chip expansions. Additionally, new production facilities are expected to be built from the ground up in Arizona and Texas to support the expansions for TSMC and Samsung.

For more details on the CMP Ancillaries market segment and growth trajectory, including profiles on suppliers like 3M, Entegris/Cabot, Diamonex, Pall, Kinik, Saesol, Nitta-DuPont, Aion, Rippey, and more, go to
https://techcet.com/product/cmp-ancillaries-2/

To meet Karey Holland and discuss CMP and the Ancillaries market segment and technology trends, don’t miss the 2023 CMC Conference in Austin, Texas from April 18-20. This year’s theme is “Are Critical Materials for Chip Expansions Ready for Launch?” To register and for more information, visit https://cmcfabs.org/2023-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


February 6, 2023

8th Annual CMC Conference in Round Rock, Texas

Join chip fabricators and suppliers in discussing current trends and issues for the semiconductor materials supply chain

San Diego, CA, February 6, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is hosting the 8th Annual Critical Materials Council (CMC) Conference from April 18-20 in Round Rock, Texas. This conference brings together chip fabricators, supplier companies, legislative policy makers, and market research analysts to discuss trends and issues in the semiconductor materials supply chains. This year’s conference will center on the following hot question, “Are Critical Materials for Chip Expansions Ready for Launch?” and will feature the honorable Jon Taylor, Corporate VP of Fab Engineering at Samsung Austin Semiconductor, as the Conference Keynote Speaker.

Joining Jon are dozens of other impressive speakers including Carolyn Duran, Ph.D., VP and Engineering Manager of Components Research at Intel, Regina Freed, VP at Applied Materials, Dhiman Bhattacharyya, Ph.D., Senior Technical Staff Member at Global Foundries, Corinna Singer, Senior Director of Procurement at Infineon, Lita Shon-Roy, President and CEO at TECHCET, and many more.

The conference will feature 6 impactful sessions, including an interactive poster session. Each session will focus on a different theme, including Global Issues & Trends, Advanced Packaging Materials, Immediate Challenges, Equipment and Component Materials, and Emerging Materials. The conference will also include various networking events, including the signature “Not-So-Usual Roundtable” where attendees can meet speakers, engage in trivia, and partake in local beer tasting.

For more details on the conference, including the full agenda and registration, visit https://cmcfabs.org/2023-cmc-conference/

Sponsorship opportunities are still available for the conference! Please email [email protected] for more information.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


January 31, 2023

Semiconductor Sputter Targets Forecasting Decline in 2023

Suppliers will benefit from slowdown as tight supply will be alleviated

San Diego, CA, January 24, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — states that the slowdown in the Semiconductor Industry is starting to impact the Sputtering Target market (excluding precious metals), now expected to see a decline of at least -2% in target revenues for 2023. This follows a strong 2022 totaling US$818 million in revenues, growing > 7% from 2021. This is slightly lower than originally expected, due to wafer starts and shipments declining in the second half of 2022, as highlighted in the quarterly update to TECHCET’s Critical Materials Report™ on the Sputtering Target Market. An increase in demand is expected by the second half of 2023. The market should return to net positive growth in 2024, in preparation for what will be robust demand from $500B worth of chip expansion plans over the next 5 years.

“Target suppliers have indicated that supply/demand balance for Copper/Copper Alloy targets have improved with slowing industry conditions,” states Dan Tracy, Sr. Director at TECHCET. Since 2020, the supply/demand balance for these target materials have been tight, thus constraining availability in light of strong demand. Suppliers have also reported that lead-times for their target manufacturing equipment is returning to normal. The 2023 industry slowdown will benefit suppliers as they expand their capabilities and prepare to support the next upturn.

Many commodity metal segments experienced higher pricing pressures in 2021 through early 2022. While commodity metal pricing has pulled back from these higher levels as the global economy has slowed, target suppliers report high-purity metal pricing has not pulled back in line with commodity prices. In addition, machining costs and metal processing are key cost factors in the final target costs.

Longer term, there are structural issues in the supply of key metals given strong demand projections. This is especially due to green energy/zero emission related demand, and limited growth projections with mining output.

For more details on the Sputter Targets market segment and growth trajectory, including profiles on suppliers like Linde, KFMI, Honeywell, JX, Furuya Metal Co., and more, go to: https://techcet.com/product/sputter-targets/

To see Dan Tracy live and discuss the markets for sputtering targets and other critical materials, don’t miss the 2023 CMC Conference in Round Rock, Texas from April 18-20 on “Are Critical Materials for Chip Expansions Ready for Launch?” To register and for more information, visit https://cmcfabs.org/2023-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


January 24, 2023

Quartz Components Market Expecting Slight Decline in 2023

Decline may vary in intensity depending on region and ongoing fab expansions

San Diego, CA, January 24, 2023:  TECHCET— the electronic materials advisory firm providing business and technology information on semiconductor supply chains — has announced that the Quartz Equipment Components Market for semiconductor manufacturing is expecting a downturn of -5% in 2023, as highlighted in the bi-yearly update to TECHCET’s Quartz Components CMR™ Market Research Report. This forecast is dependent on multiple variants, including declining demand, and end-market inventory sales. The market is also expected to respond differently in different regions given chip fab investment plans and government subsidies. The quartz market downturn comes after a strong year of sales in 2022, which totaled US$2.11B, increasing 23% from 2021.

Some minor signs of demand slowing for quartz components have already begun in some regions. Currently, inflation is becoming an issue affecting the cost structure. Shipping costs have returned to low level, while labor and energy costs are still at high level, and this is likely to continue until further deepening of the market correction.

Ongoing fab expansions are expected to balance the anticipated 2023 decline in revenues, which should return to growth in future years. Recent fab announcement and investments totaling more than US$500B will help to boost longer-term growth. For the quartz suppliers, new expansion activities by Felihua and Beijing Kaide in China are expected in 2023, and Maruwa is expanding in Japan in 2024. Ferrotec has also invested in Malaysia, though the time frame of their expansion build is unclear.

For more details on the Quartz Components Market, including profiles on suppliers like Applied Ceramics, Ferrotec, Hayward Quartz Technology, Tosoh, SEH/Heraeus, and more, go to: https://techcet.com/product/quartz-equipment-components/

To get a live update on the market on equipment components and other critical materials, don’t miss the 2023 CMC Conference in Austin, Texas from April 18-20 on “Are Critical Materials for Chip Expansions Ready for Launch?” To register and for more information, visit https://cmcfabs.org/2023-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


January 10, 2023

Slowing Industry Conditions Temporarily Eases Supply Strain in 2023 for Silicon and SOI Wafers

Investments in increased capacity not expected to alleviate strain until 2024-2025

San Diego, CA, January 10, 2023:  TECHCET—the advisory firm providing business and technology information on the semiconductor materials supply chain — has announced that the Silicon Wafer market is forecasted to hit a downturn that will result in a decline of at most -2% in 2023. This market decline comes after Silicon Wafer shipments reached an all-time high of 7.8M WSPM in 2022. With this expected decline, the prior strain of tight wafer supply will be temporarily alleviated in 2023. The industry has already begun to slow in recent months, driving improved availability as seen by the wafer spot market in the 3Q quarter of last year.

“As limited 300 mm brownfield manufacturing capacity expansion existed in 2022 for the top Silicon Wafer suppliers, the total availability capacity was essentially capped at about 7.8 million 300 mm wafers per month (wpm),” states Dan Tracy, Sr. Director at TECHCET. The result was an “aggregate” capacity utilization topping 99% for the wafer industry. For 2023, ongoing yield improvements and process optimization, coupled with emerging greenfield expansion, will boost available 300 mm capacity to around 8 million wpm. With a forecasted decline of at most -1% in 300 mm shipments for 2023; this will result in an “aggregate” 300 mm manufacturing capacity utilization in the range of 95% to 96%.

Additional greenfield capacity will come online in 2024. However, the forecasted 6% growth in 300 mm shipments will return “aggregate” 300 capacity utilization to the upper 90% range. Of course, actual capacity utilization is a function of wafer product type, doping levels, epitaxial layer requirements, and other customer specifications.

As mentioned in TECHCET’s Critical Materials Market Analysis Report on Silicon Wafers, all the top five wafer suppliers have announced new wafering manufacturing capacity, and the full impact of this capacity expansion would impact supply mainly in 2024 and 2025. Since the release of the report, GlobalWafers has announced more details about its 3.2 million-square-foot silicon wafer plant in Sherman, Texas. The facility could eventually produce 1.2 million wafers per month after multiple stages of equipment installation.

SK Siltron announced total investments of 2.3 trillion won ($1.65 billion) in its silicon wafer business over the next five years, which could come online in three phases. Additionally, Wafer Works Corp, announced a NT$15 billion (US$470.7 million) 300 mm wafer plant investment at the Central Taiwan Science Park. This plant will have an installed capacity of 200,000 wpm.

For more details on the Silicon Wafer market growth, including profiles on suppliers like SEH, Sumitomo, Siltronic, Siltron, and GlobalWafers, and more, go to: https://techcet.com/product/silicon-wafers/

To get a live update on the market for wafers and other materials, don’t miss the 2023 CMC Conference in Austin, Texas from April 18-20 on “Are Critical Materials for Chip Expansions Ready for Launch?” To register and for more information, visit https://cmcfabs.org/2023-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory research firm focused on analyzing the electronics materials supply chains for the global semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, supply chain analysis reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


January 4, 2023

2023 Semiconductor Plating for Device and Packaging Expecting Slowdown as Global Economic Conditions Weaken

New Technologies such as Ruthenium and Molybdenum in Barrier Layers May Also Replace Old Plating Standards

San Diego, CA, January 4, 2023:  TECHCET—the advisory firm providing business and technology information on the semiconductor materials supply chain — announced that the revenue growth for the 2023 Semiconductor Plating Market is expected to rise only 2% above 2022. This is a significant decline compared to the 8.3% growth seen in the 2022 market from 2021. One main reason for this forecasted slowdown is the slower economic conditions that are expected to impact the 2023 semiconductor market, at least through the first half of the year. TECHCET now forecasts plating chemical revenues to grow to US$1.02B in 2022, and then to US$1.04B in 2023 (Source: TECHCET’s newly released CMR™ on Metal Plating).

Stronger growth rebounds are expected in 2024 as demand for more devices for numerous applications (electric cars, more fast charging stations, more data storage, etc.) are expected to produce higher density and lower power devices. In addition, the US Chips Act and similar investments by Europe and China are expected to contribute towards market growth. Both these factors will drive more metal interconnect layers and more advanced packaging.

TECHCET’s Sr. Director, Dan Tracy, states, “Advanced Packaging requirements continue to be driven by increases in Wafer Level Packaging (WLP), and by consumption in the high-performance devices that utilize Redistribution Layers (RDL), interposers and Through Silicon Via (TSV) technologies.” Heterogenous integration, EMIB, Chiplets, and the power devices are expected to challenge the plating requirements in terms of quality of material being deposited.

Logic Gate All-Around (GAA) transitions node is planning to use backside power rails which appear to add at least four metal interconnect layers to the backside of the wafers.

TECHCET is following the introduction of Ruthenium or Molybdenum to possibly displace the Tantalum and Cobalt barrier layer at the GAA nodes. Ruthenium or Molybdenum (ALD or CVD, not plating) will possibly fill the interconnects and vias between M0 to M3 metal layers for Advanced Logic. Possible wafer backside connections to the backside power rail will add Copper plating to possibly match lost the loss of Copper plating at the M0-M3 layers.

For more details on the semiconductor Electroplating Chemicals market, supply-chain and growth trajectory, including supplier profiles on BASF, Dupont, Chang Chun Group, Ishihara Chemical/Unicon, and more, go to: https://techcet.com/product/metal-chemicals-for-fe-advanced-packaging/.

ABOUT TECHCET: TECHCET CA LLC is an advisory research firm focused on analyzing the electronics materials supply chains for the global semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, supply chain analysis reports, and subscription services, including the Critical Materials Council (CMC) of semiconductor fabricators and CM Data subscription services. For additional information, please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top